Wayne Luk

Wayne Luk

Imperial College London

H-index: 72

Europe-United Kingdom

About Wayne Luk

Wayne Luk, With an exceptional h-index of 72 and a recent h-index of 34 (since 2020), a distinguished researcher at Imperial College London, specializes in the field of Hardware and Architectutre, Reconfigurable Computing, Design Automation.

His recent articles reflect a diverse array of research interests and contributions to the field:

FPGA-Accelerated Sim-to-Real Control Policy Learning for Robotic Arms

Accelerating Large-Scale Graph Processing with FPGAs: Lesson Learned and Future Directions

LL-GNN: Low Latency Graph Neural Networks on FPGAs for High Energy Physics

arXiv: Sets are all you need: Ultrafast jet classification on FPGAs for HL-LHC

Sets are all you need: Ultrafast jet classification on FPGAs for HL-LHC

Deeper Hedging: A New Agent-based Model for Effective Deep Hedging

Distributed large-scale graph processing on FPGAs

Heterogeneous Reconfigurable Accelerators: Trends and Perspectives

Wayne Luk Information

University

Position

Professor of Computer Engineering

Citations(all)

20022

Citations(since 2020)

5308

Cited By

16668

hIndex(all)

72

hIndex(since 2020)

34

i10Index(all)

406

i10Index(since 2020)

140

Email

University Profile Page

Imperial College London

Google Scholar

View Google Scholar Profile

Wayne Luk Skills & Research Interests

Hardware and Architectutre

Reconfigurable Computing

Design Automation

Top articles of Wayne Luk

Title

Journal

Author(s)

Publication Date

FPGA-Accelerated Sim-to-Real Control Policy Learning for Robotic Arms

IEEE Transactions on Circuits and Systems II: Express Briefs

Ce Guo

Wayne Luk

2024/1/12

Accelerating Large-Scale Graph Processing with FPGAs: Lesson Learned and Future Directions

Marco Procaccini

Amin Sahebi

Marco Barbone

Wayne Luk

Georgi Gaydadjiev

...

2024

LL-GNN: Low Latency Graph Neural Networks on FPGAs for High Energy Physics

ACM Transactions on Embedded Computing Systems

Zhiqiang Que

Hongxiang Fan

Marcus Loo

He Li

Michaela Blott

...

2024

arXiv: Sets are all you need: Ultrafast jet classification on FPGAs for HL-LHC

Patrick Odagiu

Johannes Haller

Vladimir Loncar

Philipp Rincke

Thea K Årrestad

...

2024/2/2

Sets are all you need: Ultrafast jet classification on FPGAs for HL-LHC

arXiv preprint arXiv:2402.01876

Patrick Odagiu

Zhiqiang Que

Javier Duarte

Johannes Haller

Gregor Kasieczka

...

2024/2/2

Deeper Hedging: A New Agent-based Model for Effective Deep Hedging

Kang Gao

Stephen Weston

Perukrishnen Vytelingum

Namid Stillman

Wayne Luk

...

2023/11/27

Distributed large-scale graph processing on FPGAs

Journal of big Data

Amin Sahebi

Marco Barbone

Marco Procaccini

Wayne Luk

Georgi Gaydadjiev

...

2023/6/4

Heterogeneous Reconfigurable Accelerators: Trends and Perspectives

Wayne Luk

2023/7/9

“AI Acceleration on FPGAs”

Yun Liang

Wei Zhang

Stephen Neuendorffer

Wayne Luk

2023/11/9

Experimental survey of fpga-based monolithic switches and a novel queue balancer

IEEE Transactions on Parallel and Distributed Systems

Philippos Papaphilippou

Kentaro Sano

Boma Anantasatya Adhi

Wayne Luk

2023/2/13

When Monte-Carlo Dropout Meets Multi-Exit: Optimizing Bayesian Neural Networks on FPGA

Proceedings of the 60th ACM/IEEE Design Automation Conference (DAC'23)

Hongxiang Fan

Hao Chen

Liam Castelli

Zhiqiang Que

He Li

...

2023/8/13

Exploring Machine Learning Adoption in Customisable Processor Design

Jose GF Coutinho

Ce Guo

Tim Todman

Wayne Luk

2023/10/24

Demonstration of FPGA acceleration of monte carlo simulation

Journal of Physics: Conference Series

Marco Barbone

A Howard

A Tapper

D Chen

M Novak

...

2023/2/1

Accelerating 4D image reconstruction for magnetic resonance-guided radiotherapy

Physics and imaging in radiation oncology

Bastien Lecoeur

Marco Barbone

Jessica Gough

Uwe Oelfke

Wayne Luk

...

2023/7/1

FPGA-Accelerated Causal Discovery with Conditional Independence Test Prioritization

Ce Guo

Diego Cupello

Wayne Luk

Joshua Levine

Alexander Warren

...

2023/9/4

Efficiently Removing Sparsity for High-Throughput Stream Processing

Philippos Papaphilippou

Zhiqiang Que

Wayne Luk

2023

Customisable Processing of Neural Networks for FPGAs

Stewart Denholm

Wayne Luk

2023/6/14

Event‐based high throughput computing: A series of case studies on a massively parallel softcore machine

IET Computers & Digital Techniques

Mark Vousden

Jordan Morris

Graeme McLachlan Bragg

Jonathan Beaumont

Ashur Rafiev

...

2023/1

MetaML: Automating Customizable Cross-Stage Design-Flow for Deep Learning Acceleration

Zhiqiang Que

Shuo Liu

Markus Rognlien

Ce Guo

Jose GF Coutinho

...

2023/9/4

Advancements in spiking neural network communication and synchronization techniques for event-driven neuromorphic systems

Array

Mahyar Shahsavari

David Thomas

Marcel van Gerven

Andrew Brown

Wayne Luk

2023/12/1

See List of Professors in Wayne Luk University(Imperial College London)

Co-Authors

H-index: 56
Morris Sloman

Morris Sloman

Imperial College London

H-index: 51
Peter Y K Cheung

Peter Y K Cheung

Imperial College London

H-index: 44
George Constantinides

George Constantinides

Imperial College London

H-index: 41
Paul Kelly

Paul Kelly

Imperial College London

H-index: 33
Suhaib Fahmy

Suhaib Fahmy

King Abdullah University of Science and Technology

H-index: 33
João M. P. Cardoso

João M. P. Cardoso

Universidade do Porto

academic-engine