Margaret Martonosi

Margaret Martonosi

Princeton University

H-index: 83

North America-United States

About Margaret Martonosi

Margaret Martonosi, With an exceptional h-index of 83 and a recent h-index of 46 (since 2020), a distinguished researcher at Princeton University, specializes in the field of Computer Architecture, Mobile Computing, Quantum Computing.

His recent articles reflect a diverse array of research interests and contributions to the field:

Distributed Quantum Computing via Integrating Quantum and Classical Computing

TensorQC: Towards Scalable Quantum Classical Hybrid Compute via Tensor Networks

SoCurity: A Design Approach for Enhancing SoC Security

arXiv: Quantum-centric Supercomputing for Materials Science: A Perspective on Challenges and Future Directions

Architectural Support for Optimizing Huge Page Selection Within the OS

Microarchitectures for heterogeneous superconducting quantum computers

AutoCC: Automatic Discovery of Covert Channels in Time-Shared Hardware

Quantum-centric Supercomputing for Materials Science: A Perspective on Challenges and Future Directions

Margaret Martonosi Information

University

Position

Professor of Computer Science

Citations(all)

34129

Citations(since 2020)

7455

Cited By

30081

hIndex(all)

83

hIndex(since 2020)

46

i10Index(all)

223

i10Index(since 2020)

123

Email

University Profile Page

Princeton University

Google Scholar

View Google Scholar Profile

Margaret Martonosi Skills & Research Interests

Computer Architecture

Mobile Computing

Quantum Computing

Top articles of Margaret Martonosi

Title

Journal

Author(s)

Publication Date

Distributed Quantum Computing via Integrating Quantum and Classical Computing

Computer

Wei Tang

Margaret Martonosi

2024/4/2

TensorQC: Towards Scalable Quantum Classical Hybrid Compute via Tensor Networks

APS March Meeting Abstracts

Wei Tang

Margaret Martonosi

2023

SoCurity: A Design Approach for Enhancing SoC Security

IEEE Computer Architecture Letters

Naorin Hossain

Alper Buyuktosunoglu

John-David Wellman

Pradip Bose

Margaret Martonosi

2023/8/3

arXiv: Quantum-centric Supercomputing for Materials Science: A Perspective on Challenges and Future Directions

Yuri Alexeev

Bill Gropp

Iskandar Sitdikov

Marco Antonio Barroca

Kyungsun Moon

...

2023/12/14

Architectural Support for Optimizing Huge Page Selection Within the OS

Aninda Manocha

Zi Yan

Esin Tureci

Juan L Aragón

David Nellans

...

2023/10/28

Microarchitectures for heterogeneous superconducting quantum computers

arXiv preprint arXiv:2305.03243

Samuel Stein

Sara Sussman

Teague Tomesh

Charles Guinn

Esin Tureci

...

2023/5/5

AutoCC: Automatic Discovery of Covert Channels in Time-Shared Hardware

Marcelo Orenes-Vera

Hyunsung Yun

Nils Wistoff

Gernot Heiser

Luca Benini

...

2023/10/28

Quantum-centric Supercomputing for Materials Science: A Perspective on Challenges and Future Directions

arXiv preprint arXiv:2312.09733

Yuri Alexeev

Maximilian Amsler

Paul Baity

Marco Antonio Barroca

Sanzio Bassini

...

2023/12/14

DECADES: A 67mm2, 1.46TOPS, 55 Giga Cache-Coherent 64-bit RISC-V Instructions per second, Heterogeneous Manycore SoC with 109 Tiles including …

Fei Gao

Ting-Jung Chang

Ang Li

Marcelo Orenes-Vera

Davide Giri

...

2023/4/23

From RTL to SVA: LLM-assisted generation of Formal Verification Testbenches

arXiv preprint arXiv:2309.09437

Marcelo Orenes-Vera

Margaret Martonosi

David Wentzlaff

2023/9/18

Tascade: Hardware support for atomic-free, asynchronous and efficient reduction trees

arXiv preprint arXiv:2311.15810

Marcelo Orenes-Vera

Esin Tureci

David Wentzlaff

Margaret Martonosi

2023/11/27

Massive data-centric parallelism in the chiplet era

arXiv preprint arXiv:2304.09389

Marcelo Orenes-Vera

Esin Tureci

David Wentzlaff

Margaret Martonosi

2023/4/19

Divide and conquer for combinatorial optimization and distributed quantum computation

Teague Tomesh

Zain H Saleem

Michael A Perlin

Pranav Gokhale

Martin Suchara

...

2023/9/17

DCRA: A distributed chiplet-based reconfigurable architecture for irregular applications

arXiv preprint arXiv:2311.15443

Marcelo Orenes-Vera

Esin Tureci

Margaret Martonosi

David Wentzlaff

2023/11/26

Dalorex: A data-local program execution and architecture for memory-bound applications

Marcelo Orenes-Vera

Esin Tureci

David Wentzlaff

Margaret Martonosi

2023/2/25

Using llms to facilitate formal verification of rtl

arXiv e-prints

Marcelo Orenes-Vera

Margaret Martonosi

David Wentzlaff

2023/9

Muchisim: A Simulation Framework for Design Exploration of Multi-Chip Manycore Systems

arXiv preprint arXiv:2312.10244

Marcelo Orenes-Vera

Esin Tureci

Margaret Martonosi

David Wentzlaff

2023/12/15

HetArch: Heterogeneous Microarchitectures for Superconducting Quantum Systems

Samuel Stein

Sara Sussman

Teague Tomesh

Charles Guinn

Esin Tureci

...

2023/10/28

Toward systematic architectural design of near-term trapped ion quantum computers

Communications of the ACM

Prakash Murali

Dripto M Debroy

Kenneth R Brown

Margaret Martonosi

2022/2/23

Tiny but mighty: designing and realizing scalable latency tolerance for manycore socs

Marcelo Orenes-Vera

Aninda Manocha

Jonathan Balkind

Fei Gao

Juan L Aragón

...

2022/6/18

See List of Professors in Margaret Martonosi University(Princeton University)

Co-Authors

H-index: 74
Sharad Malik

Sharad Malik

Princeton University

H-index: 71
Kevin Skadron

Kevin Skadron

University of Virginia

H-index: 66
David Brooks

David Brooks

Harvard University

H-index: 66
Daniel  I Rubenstein

Daniel I Rubenstein

Princeton University

H-index: 64
Li-Shiuan Peh

Li-Shiuan Peh

National University of Singapore

H-index: 37
Stefanos Kaxiras

Stefanos Kaxiras

Uppsala Universitet

academic-engine