Kevin Skadron

Kevin Skadron

University of Virginia

H-index: 71

North America-United States

About Kevin Skadron

Kevin Skadron, With an exceptional h-index of 71 and a recent h-index of 31 (since 2020), a distinguished researcher at University of Virginia, specializes in the field of computer architecture, processing in memory, hardware acceleration, automata processing, heterogeneous computing.

His recent articles reflect a diverse array of research interests and contributions to the field:

Abakus: Accelerating k-mer Counting with Storage Technology

Dynamic-ACTS-A Dynamic Graph Analytics Accelerator For HBM-Enabled FPGAs

ACTS: A Near-Memory FPGA Graph Processing Framework

Memory devices including processing-in-memory architecture configured to provide accumulation dispatching and hybrid partitioning

Scalable in situ DRAM-based accelerators and methods of operating the same

HashMem: PIM-based Hashmap Accelerator

FreezeTime: Towards System Emulation through Architectural Virtualization

Methods, Systems, and Circuits for Coordinated Optimization in In-Memory Sorting

Kevin Skadron Information

University

Position

Harry Douglas Forsyth Professor and Chair of Computer Science

Citations(all)

27916

Citations(since 2020)

6702

Cited By

24438

hIndex(all)

71

hIndex(since 2020)

31

i10Index(all)

208

i10Index(since 2020)

102

Email

University Profile Page

University of Virginia

Google Scholar

View Google Scholar Profile

Kevin Skadron Skills & Research Interests

computer architecture

processing in memory

hardware acceleration

automata processing

heterogeneous computing

Top articles of Kevin Skadron

Title

Journal

Author(s)

Publication Date

Abakus: Accelerating k-mer Counting with Storage Technology

ACM Transactions on Architecture and Code Optimization

Lingxi Wu

Minxuan Zhou

Weihong Xu

Ashish Venkat

Tajana Rosing

...

2024/1/18

Dynamic-ACTS-A Dynamic Graph Analytics Accelerator For HBM-Enabled FPGAs

ACM Transactions on Reconfigurable Technology and Systems

Oluwole Jaiyeoba

Kevin Skadron

2024

ACTS: A Near-Memory FPGA Graph Processing Framework

Wole Jaiyeoba

Nima Elyasi

Changho Choi

Kevin Skadron

2023/2/12

Memory devices including processing-in-memory architecture configured to provide accumulation dispatching and hybrid partitioning

2023/10/26

Scalable in situ DRAM-based accelerators and methods of operating the same

2023/10/3

HashMem: PIM-based Hashmap Accelerator

arXiv preprint arXiv:2306.17721

Akhil Shekar

Morteza Baradaran

Sabiha Tajdari

Kevin Skadron

2023/6/30

FreezeTime: Towards System Emulation through Architectural Virtualization

Sergiu Mosanu

Joshua Fixelle

Mohammad Nazmus Sakib

Kevin Skadron

Mircea Stan

2023/2/12

Methods, Systems, and Circuits for Coordinated Optimization in In-Memory Sorting

2023/12/14

Hardware Trojans in eNVM Neuromorphic Devices

Lingxi Wu

Rahul Sreekumar

Rasool Sharifi

Kevin Skadron

Mircea R Stant

...

2023/4/17

Dynamic random access memory-based content-addressable memory (dram-cam) architecture for exact pattern matching

2023/11/30

From 2.5 D to 3D chiplet systems: Investigation of thermal implications with HotSpot 7.0

Jun-Han Han

Xinfei Guo

Kevin Skadron

Mircea R Stan

2022/5/31

Pulley: An Algorithm/Hardware Co-Optimization for In-Memory Sorting

IEEE Computer Architecture Letters

Marzieh Lenjani

Alif Ahmed

Kevin Skadron

2022/9/21

Synthesizing Legacy String Code for FPGAs Using Bounded Automata Learning

IEEE Micro

Kevin Angstadt

Tommy Tracy

Kevin Skadron

Jean-Baptiste Jeannin

Westley Weimer

2022/5/25

System for placement optimization of chip design for transient noise control and related methods thereof

2022/9/6

Methods, circuits, systems, and articles of manufacture for searching a reference sequence for a target sequence within a specified distance

2020/3/3

Methods, circuits, and articles of manufacture for frequent sub-tree mining using non-deterministic finite state machines

2022/4/26

DRAM-CAM: General-Purpose Bit-Serial Exact Pattern Matching

IEEE Computer Architecture Letters

Lingxi Wu

Rasool Sharifi

Ashish Venkat

Kevin Skadron

2022/8/23

GraphTango: A Hybrid Representation Format for Efficient Streaming Graph Updates and Analysis

arXiv preprint arXiv:2212.11935

Alif Ahmed

Farzana Ahmed Siddique

Kevin Skadron

2022/12/22

PiMulator: A fast and flexible processing-in-memory emulation platform

Sergiu Mosanu

Mohammad Nazmus Sakib

II Tommy Tracy

Ersin Cukurtas

Alif Ahmed

...

2022/3/23

Agile-aes: Implementation of configurable aes primitive with agile design approach

Integration

Xinfei Guo

Mohamed El-Hadedy

Sergiu Mosanu

Xiangdong Wei

Kevin Skadron

...

2022/7/1

See List of Professors in Kevin Skadron University(University of Virginia)