David Brooks

David Brooks

Harvard University

H-index: 66

North America-United States

About David Brooks

David Brooks, With an exceptional h-index of 66 and a recent h-index of 43 (since 2020), a distinguished researcher at Harvard University, specializes in the field of Computer Architecture.

His recent articles reflect a diverse array of research interests and contributions to the field:

Guac: Energy-Aware and SSA-Based Generation of Coarse-Grained Merged Accelerators from LLVM-IR

A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management, and Flexible NoC-Based Data Orchestration

Hardware Resilience Properties of Text-Guided Image Classifiers

: Increasing GPU Utilization during Generative Inference for Higher Throughput

Flash: A Hybrid Private Inference Protocol for Deep CNNs with High Accuracy and Low Latency on CPU

CAMEL: Co-Designing AI Models and eDRAMs for Efficient On-Device Learning

VelociTI: An Architecture-level Performance Modeling Framework for Trapped Ion Quantum Computers

Generative AI Beyond LLMs: System Implications of Multi-Modal Generation

David Brooks Information

University

Position

Haley Family Professor of Computer Science

Citations(all)

23494

Citations(since 2020)

9055

Cited By

17558

hIndex(all)

66

hIndex(since 2020)

43

i10Index(all)

181

i10Index(since 2020)

120

Email

University Profile Page

Harvard University

Google Scholar

View Google Scholar Profile

David Brooks Skills & Research Interests

Computer Architecture

Top articles of David Brooks

Title

Journal

Author(s)

Publication Date

Guac: Energy-Aware and SSA-Based Generation of Coarse-Grained Merged Accelerators from LLVM-IR

arXiv preprint arXiv:2402.13513

Iulian Brumar

Rodrigo Rocha

Alex Bernat

Devashree Tripathy

David Brooks

...

2024/2/21

A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management, and Flexible NoC-Based Data Orchestration

Maico Cassel Dos Santos

Tianyu Jia

Joseph Zuckerman

Martin Cochet

Davide Giri

...

2024/2/18

Hardware Resilience Properties of Text-Guided Image Classifiers

Advances in Neural Information Processing Systems

Syed Talal Wasim

Kabila Haile Soboka

Abdulrahman Mahmoud

Salman H Khan

David Brooks

...

2024/2/13

: Increasing GPU Utilization during Generative Inference for Higher Throughput

Advances in Neural Information Processing Systems

Yunho Jin

Chun-Feng Wu

David Brooks

Gu-Yeon Wei

2024/2/13

Flash: A Hybrid Private Inference Protocol for Deep CNNs with High Accuracy and Low Latency on CPU

arXiv preprint arXiv:2401.16732

Hyeri Roh

Jinsu Yeo

Yeongil Ko

Gu-Yeon Wei

David Brooks

...

2024/1/30

CAMEL: Co-Designing AI Models and eDRAMs for Efficient On-Device Learning

Sai Qian Zhang

Thierry Tambe

Nestor Cuevas

Gu-Yeon Wei

David Brooks

2024/3/2

VelociTI: An Architecture-level Performance Modeling Framework for Trapped Ion Quantum Computers

Alexander Hankin

Abdulrahman Mahmoud

Mark Hempstead

David Brooks

Gu-Yeon Wei

2023/10/1

Generative AI Beyond LLMs: System Implications of Multi-Modal Generation

arXiv preprint arXiv:2312.14385

Alicia Golden

Samuel Hsia

Fei Sun

Bilge Acun

Basil Hosmer

...

2023/12/22

Carbon explorer: A holistic framework for designing carbon aware datacenters

Bilge Acun

Benjamin Lee

Fiodar Kazhamiaka

Kiwan Maeng

Udit Gupta

...

2023/1/27

Mavfi: An end-to-end fault analysis framework with anomaly detection and recovery for micro aerial vehicles

Yu-Shun Hsiao

Zishen Wan

Tianyu Jia

Radhika Ghosal

Abdulrahman Mahmoud

...

2023/4/17

Camel: Co-designing ai models and embedded drams for efficient on-device learning

arXiv preprint arXiv:2305.03148

Sai Qian Zhang

Thierry Tambe

Nestor Cuevas

Gu-Yeon Wei

David Brooks

2023/5/4

Guess & Sketch: Language Model Guided Transpilation

arXiv preprint arXiv:2309.14396

Celine Lee

Abdulrahman Mahmoud

Michal Kurek

Simone Campanoni

David Brooks

...

2023/9/25

Carbon Responder: Coordinating Demand Response for the Datacenter Fleet

arXiv preprint arXiv:2311.08589

Jiali Xing

Bilge Acun

Aditya Sundarrajan

David Brooks

Manoj Chakkaravarthy

...

2023/11/14

PerfSAGE: Generalized Inference Performance Predictor for Arbitrary Deep Learning Models on Edge Devices

arXiv preprint arXiv:2301.10999

Yuji Chai

Devashree Tripathy

Chuteng Zhou

Dibakar Gope

Igor Fedorov

...

2023/1/26

GreenScale: Carbon-Aware Systems for Edge Computing

arXiv preprint arXiv:2304.00404

Young Geun Kim

Udit Gupta

Andrew McCrabb

Yonglak Son

Valeria Bertacco

...

2023/4/1

Design Space Exploration and Optimization for Carbon-Efficient Extended Reality Systems

arXiv preprint arXiv:2305.01831

Mariam Elgamal

Doug Carmean

Elnaz Ansari

Okay Zed

Ramesh Peri

...

2023/5/2

SoCProbe: Compositional Post-Silicon Validation of Heterogeneous NoC-Based SoCs

IEEE Design & Test

Gabriele Tombesi

Joseph Zuckerman

Paolo Mantovani

Davide Giri

Maico Cassel Dos Santos

...

2023/8/30

Silent Data Corruption in Robot Operating System: A Case for End-to-End System-Level Fault Analysis Using Autonomous UAVs

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Yu-Shun Hsiao

Zishen Wan

Tianyu Jia

Radhika Ghosal

Abdulrahman Mahmoud

...

2023/11/13

Gpu-based private information retrieval for on-device machine learning inference

arXiv preprint arXiv:2301.10904

Maximilian Lam

Jeff Johnson

Wenjie Xiong

Kiwan Maeng

Udit Gupta

...

2023/1/26

Mp-rec: Hardware-software co-design to enable multi-path recommendation

Samuel Hsia

Udit Gupta

Bilge Acun

Newsha Ardalani

Pan Zhong

...

2023/3/25

See List of Professors in David Brooks University(Harvard University)