Chixiao Chen

About Chixiao Chen

Chixiao Chen, With an exceptional h-index of 12 and a recent h-index of 10 (since 2020), a distinguished researcher at Fudan University, specializes in the field of Electrical engineering, Analog and mixed-signal circuit, Deep learning processor and compiler.

His recent articles reflect a diverse array of research interests and contributions to the field:

Trident-CIM: A LUT-Based Compute-in-Memory Macro With Trident Read Bit-Line and Partial Product Pruning

A 6.4-Gbps 0.41-pJ/b fully-digital die-to-die interconnect PHY for silicon interposer based 2.5 D integration

HARDSEA: Hybrid Analog-ReRAM Clustering and Digital-SRAM In-Memory Computing Accelerator for Dynamic Sparse Self-Attention in Transformer

TiPU: A Spatial-Locality-Aware Near-Memory Tile Processing Unit for 3D Point Cloud Neural Network

A 14b 500 MS/s Single-Channel Pipelined-SAR ADC With Reference Ripple Mitigation Techniques and Adaptively Biased Floating Inverter Amplifier

Bit-Offsetter: A Bit-serial DNN Accelerator with Weight-offset MAC for Bit-wise Sparsity Exploitation

TPNoC: An Efficient Topology Reconfigurable NoC Generator

A Scalable Die-to-Die Interconnect with Replay and Repair Schemes for 2.5 D/3D Integration

Chixiao Chen Information

University

Position

Assistant Prof.

Citations(all)

472

Citations(since 2020)

350

Cited By

196

hIndex(all)

12

hIndex(since 2020)

10

i10Index(all)

15

i10Index(since 2020)

11

Email

University Profile Page

Google Scholar

Chixiao Chen Skills & Research Interests

Electrical engineering

Analog and mixed-signal circuit

Deep learning processor and compiler

Top articles of Chixiao Chen

Trident-CIM: A LUT-Based Compute-in-Memory Macro With Trident Read Bit-Line and Partial Product Pruning

IEEE Transactions on Circuits and Systems II: Express Briefs

2024/3/11

A 6.4-Gbps 0.41-pJ/b fully-digital die-to-die interconnect PHY for silicon interposer based 2.5 D integration

Integration

2024/2/13

Chixiao Chen
Chixiao Chen

H-Index: 7

Qi Liu
Qi Liu

H-Index: 28

HARDSEA: Hybrid Analog-ReRAM Clustering and Digital-SRAM In-Memory Computing Accelerator for Dynamic Sparse Self-Attention in Transformer

IEEE Transactions on Very Large Scale Integration (VLSI) Systems

2023/12/20

TiPU: A Spatial-Locality-Aware Near-Memory Tile Processing Unit for 3D Point Cloud Neural Network

2023/7/9

A 14b 500 MS/s Single-Channel Pipelined-SAR ADC With Reference Ripple Mitigation Techniques and Adaptively Biased Floating Inverter Amplifier

IEEE Journal of Solid-State Circuits

2023/7/7

Bit-Offsetter: A Bit-serial DNN Accelerator with Weight-offset MAC for Bit-wise Sparsity Exploitation

2023/6/11

TPNoC: An Efficient Topology Reconfigurable NoC Generator

2023/6/5

A Scalable Die-to-Die Interconnect with Replay and Repair Schemes for 2.5 D/3D Integration

2023/5/21

A Event-Driven Neural Spike Sorting Processor with Sparsity-Aware Computing-In-Memory Macros

2023/5/21

Beyond convolutional neural networks computing: New trends on ISSCC 2023 machine learning chips

2023/5/1

16.2 A 28nm 53.8 TOPS/W 8b sparse transformer accelerator with in-memory butterfly zero skipper for unstructured-pruned NN and CIM-based local-attention-reusable engine

2023/2/19

Ca-spacenet: Counterfactual analysis for 6d pose estimation in space

2022/10/23

High-speed and time-interleaved adcs using additive-neural-network-based calibration for nonlinear amplitude and phase distortion

IEEE Transactions on Circuits and Systems I: Regular Papers

2022/8/30

Fan Ye
Fan Ye

H-Index: 4

Qi Liu
Qi Liu

H-Index: 28

Chixiao Chen
Chixiao Chen

H-Index: 7

Design challenges of intra-and inter-chiplet interconnection

IEEE Design & Test

2022/8/29

A 28 nm 81 Kb 59–95.3 TOPS/W 4T2R ReRAM computing-in-memory accelerator with voltage-to-time-to-digital based output

IEEE Journal on Emerging and Selected Topics in Circuits and Systems

2022/8/5

Curriculum adversarial training for robust reinforcement learning

2022/7/18

A 200M-Query-Vector/s Computing-in-RRAM ADC-less k-Nearest-Neighbor Accelerator with Time-Domain Winner-Takes-All Circuits

2022/6/13

The trend of emerging non-volatile TCAM for parallel search and AI applications

2022/6/1

A 11.6 μ W Computing-on-Memory-Boundary Keyword Spotting Processor with Joint MFCC-CNN Ternary Quantization

2022/5/27

See List of Professors in Chixiao Chen University(Fudan University)

Co-Authors

academic-engine