Yu Wang (汪玉)

Yu Wang (汪玉)

Tsinghua University

H-index: 64

Asia-China

About Yu Wang (汪玉)

Yu Wang (汪玉), With an exceptional h-index of 64 and a recent h-index of 54 (since 2020), a distinguished researcher at Tsinghua University, specializes in the field of Intelligent Multi-Agent System, Deep Learning Accelerator, Power/Reliability aware Design, Parallel Circuit Simulation.

His recent articles reflect a diverse array of research interests and contributions to the field:

BoolNet: Towards Energy-Efficient Binary Neural Networks Design and Optimization

LV-Eval: A Balanced Long-Context Benchmark with 5 Length Levels Up to 256K

Towards High-accuracy and Real-time Two-stage Small Object Detection on FPGA

TCP: Triplet Contrastive-relationship Preserving for Class-Incremental Learning

Linear Combination of Saved Checkpoints Makes Consistency and Diffusion Models Better

FlightLLM: Efficient Large Language Model Inference with a Complete Mapping Flow on FPGAs

FlashEval: Towards Fast and Accurate Evaluation of Text-to-image Diffusion Generative Models

Localization matters too: How localization error affects UAV flight

Yu Wang (汪玉) Information

University

Position

Department of Electronic Engineering China

Citations(all)

19093

Citations(since 2020)

14599

Cited By

9702

hIndex(all)

64

hIndex(since 2020)

54

i10Index(all)

214

i10Index(since 2020)

164

Email

University Profile Page

Tsinghua University

Google Scholar

View Google Scholar Profile

Yu Wang (汪玉) Skills & Research Interests

Intelligent Multi-Agent System

Deep Learning Accelerator

Power/Reliability aware Design

Parallel Circuit Simulation

Top articles of Yu Wang (汪玉)

Title

Journal

Author(s)

Publication Date

BoolNet: Towards Energy-Efficient Binary Neural Networks Design and Optimization

Nianhui Guo

Joseph Bethge

Haojin Yang

Kai Zhong

Xuefei Ning

...

2024/2/21

LV-Eval: A Balanced Long-Context Benchmark with 5 Length Levels Up to 256K

arXiv preprint arXiv:2402.05136

Tao Yuan

Xuefei Ning

Dong Zhou

Zhijie Yang

Shiyao Li

...

2024/2/6

Towards High-accuracy and Real-time Two-stage Small Object Detection on FPGA

IEEE Transactions on Circuits and Systems for Video Technology

Shiyao Li

Zhenhua Zhu

Hanbo Sun

Xuefei Ning

Guohao Dai

...

2024/4/4

TCP: Triplet Contrastive-relationship Preserving for Class-Incremental Learning

Shiyao Li

Xuefei Ning

Shanghang Zhang

Lidong Guo

Tianchen Zhao

...

2024

Linear Combination of Saved Checkpoints Makes Consistency and Diffusion Models Better

arXiv preprint arXiv:2404.02241

Enshu Liu

Junyi Zhu

Zinan Lin

Xuefei Ning

Matthew B Blaschko

...

2024/4/2

FlightLLM: Efficient Large Language Model Inference with a Complete Mapping Flow on FPGAs

Shulin Zeng

Jun Liu

Guohao Dai

Xinhao Yang

Tianyu Fu

...

2024/4/1

FlashEval: Towards Fast and Accurate Evaluation of Text-to-image Diffusion Generative Models

arXiv preprint arXiv:2403.16379

Lin Zhao

Tianchen Zhao

Zinan Lin

Xuefei Ning

Guohao Dai

...

2024/3/25

Localization matters too: How localization error affects UAV flight

arXiv preprint arXiv:2403.01428

Suquan Zhang

Yuanfan Xu

Shu'ang Yu

Qingmin Liao

Jincheng Yu

...

2024/3/3

Evaluating Quantized Large Language Models

arXiv preprint arXiv:2402.18158

Shiyao Li

Xuefei Ning

Luning Wang

Tengxuan Liu

Xiangsheng Shi

...

2024/2/28

Ada3D: Exploiting the Spatial Redundancy with Adaptive Inference for Efficient 3D Object Detection

Tianchen Zhao

Xuefei Ning

Ke Hong

Zhongyuan Qiu

Pu Lu

...

2023

DF-GAS: a Distributed FPGA-as-a-Service Architecture towards Billion-Scale Graph-based Approximate Nearest Neighbor Search

Shulin Zeng

Zhenhua Zhu

Jun Liu

Haoyu Zhang

Guohao Dai

...

2023/10/28

Fictitious Cross-Play: Learning Global Nash Equilibrium in Mixed Cooperative-Competitive Games

Zelai Xu

Yancheng Liang

Chao Yu

Yu Wang

Yi Wu

2023/5/30

PIM-HLS: An Automatic Hardware Generation Tool for Heterogeneous Processing-In-Memory-based Neural Network Accelerators

Yu Zhu

Zhenhua Zhu

Guohao Dai

Fengbin Tu

Hanbo Sun

...

2023/7/9

MNSIM 2.0: A Behavior-Level Modeling Tool for Processing-In-Memory Architectures

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Zhenhua Zhu

Hanbo Sun

Tongxin Xie

Yu Zhu

Guohao Dai

...

2023/3/2

GML-NeRF: Gate-guided Mutual Learning Framework for Neural Rendering

Lidong Guo

Xuefei Ning

Yonggan Fu

Tianchen Zhao

Zhuoliang Kang

...

2023/10/13

CoGNN: An Algorithm-Hardware Co-Design Approach to Accelerate GNN Inference with Mini-Batch Sampling

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Kai Zhong

Shulin Zeng

Wentao Hou

Guohao Dai

Zhenhua Zhu

...

2023/5/23

Processing-In-Hierarchical-Memory Architecture for Billion-Scale Approximate Nearest Neighbor Search

Zhenhua Zhu

Jun Liu

Guohao Dai

Shulin Zeng

Bing Li

...

2023/7/9

Realizing Extreme Endurance Through Fault-aware Wear Leveling and Improved Tolerance

Jiangwei Zhang

Chong Wang

Zhenhua Zhu

Donald Kline

Alex K Jones

...

2023/2/25

Edge learning using a fully integrated neuro-inspired memristor chip

Science

Wenbin Zhang

Peng Yao

Bin Gao

Qi Liu

Dong Wu

...

2023/9/15

CogDL: A Comprehensive Library for Graph Deep Learning

Yukuo Cen

Zhenyu Hou

Yan Wang

Qibin Chen

Yizhen Luo

...

2023/4/30

See List of Professors in Yu Wang (汪玉) University(Tsinghua University)

Co-Authors

H-index: 100
Yuan Xie

Yuan Xie

University of California, Santa Barbara

H-index: 83
Yiran Chen

Yiran Chen

Duke University

H-index: 61
Huazhong Yang

Huazhong Yang

Tsinghua University

H-index: 61
Yu Cao

Yu Cao

Arizona State University

H-index: 55
Song Han

Song Han

Massachusetts Institute of Technology

H-index: 42
Yongpan Liu

Yongpan Liu

Tsinghua University

academic-engine