Yongpan Liu

Yongpan Liu

Tsinghua University

H-index: 42

Asia-China

About Yongpan Liu

Yongpan Liu, With an exceptional h-index of 42 and a recent h-index of 34 (since 2020), a distinguished researcher at Tsinghua University, specializes in the field of Machine Learning, Nonvolatile Memory and Computing, Energy Efficient VLSI, Embedded System, Design Methodology.

His recent articles reflect a diverse array of research interests and contributions to the field:

A Dynamic Execution Neural Network Processor for Fine-Grained Mixed-Precision Model Training Based on Online Quantization Sensitivity Analysis

Block-Wise Dynamic-Precision Neural Network Training Acceleration via Online Quantization Sensitivity Analytics

An Energy-Efficient Computing-in-Memory NN Processor With Set-Associate Blockwise Sparsity and Ping-Pong Weight Update

A 28nm 1.07TFLOPS/mm2 Dynamic-Precision Training Processor with Online Dynamic Execution and Multi- Level-Aligned Block-FP Processing

STICKER-IM: A 65 nm computing-in-memory NN processor using block-wise sparsity optimization and inter/intra-macro data reuse

Toward Low-Bit Neural Network Training Accelerator by Dynamic Group Accumulation

PACA: A pattern pruning algorithm and channel-fused high PE utilization accelerator for CNNs

A 65-nm energy-efficient interframe data reuse neural network accelerator for video applications

Yongpan Liu Information

University

Position

Professor @

Citations(all)

7913

Citations(since 2020)

5200

Cited By

4958

hIndex(all)

42

hIndex(since 2020)

34

i10Index(all)

137

i10Index(since 2020)

106

Email

University Profile Page

Tsinghua University

Google Scholar

View Google Scholar Profile

Yongpan Liu Skills & Research Interests

Machine Learning

Nonvolatile Memory and Computing

Energy Efficient VLSI

Embedded System

Design Methodology

Top articles of Yongpan Liu

Title

Journal

Author(s)

Publication Date

A Dynamic Execution Neural Network Processor for Fine-Grained Mixed-Precision Model Training Based on Online Quantization Sensitivity Analysis

IEEE Journal of Solid-State Circuits

Ruoyang Liu

Chenhan Wei

Yixiong Yang

Wenxun Wang

Binbin Yuan

...

2024/3/28

Block-Wise Dynamic-Precision Neural Network Training Acceleration via Online Quantization Sensitivity Analytics

Ruoyang Liu

Chenhan Wei

Yixiong Yang

Wenxun Wang

Huazhong Yang

...

2023/1/16

An Energy-Efficient Computing-in-Memory NN Processor With Set-Associate Blockwise Sparsity and Ping-Pong Weight Update

IEEE Journal of Solid-State Circuits

Jinshan Yue

Yongpan Liu

Xiaoyu Feng

Yifan He

Jingyu Wang

...

2023/10/30

A 28nm 1.07TFLOPS/mm2 Dynamic-Precision Training Processor with Online Dynamic Execution and Multi- Level-Aligned Block-FP Processing

Yixiong Yang

Ruoyang Liu

Chenhan Wei

Wenxun Wang

Wenyu Sun

...

2023/4/23

STICKER-IM: A 65 nm computing-in-memory NN processor using block-wise sparsity optimization and inter/intra-macro data reuse

IEEE Journal of Solid-State Circuits

Jinshan Yue

Yongpan Liu

Zhe Yuan

Xiaoyu Feng

Yifan He

...

2022/2/16

Toward Low-Bit Neural Network Training Accelerator by Dynamic Group Accumulation

Yixiong Yang

Ruoyang Liu

Wenyu Sun

Jinshan Yue

Huazhong Yang

...

2022/1/17

PACA: A pattern pruning algorithm and channel-fused high PE utilization accelerator for CNNs

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Jingyu Wang

Songming Yu

Zhuqing Yuan

Jinshan Yue

Zhe Yuan

...

2022/1/6

A 65-nm energy-efficient interframe data reuse neural network accelerator for video applications

IEEE Journal of Solid-State Circuits

Yixiong Yang

Yongpan Liu

Zhe Yuan

Wenyu Sun

Ruoyang Liu

...

2021/12/1

Data write control apparatus and method

2021/2/23

15.2 A 2.75-to-75.9 TOPS/W computing-in-memory NN processor supporting set-associate block-wise zero skipping and ping-pong CIM with simultaneous computation and weight updating

Jinshan Yue

Xiaoyu Feng

Yifan He

Yuxuan Huang

Yipeng Wang

...

2021/2/13

PETRI: Reducing bandwidth requirement in smart surveillance by edge-cloud collaborative adaptive frame clustering and pipelined bidirectional tracking

Ruoyang Liu

Lu Zhang

Jingyu Wang

Huazhong Yang

Yongpan Liu

2021/12/5

Nanoscale mapping of humid degradation-induced local mechanical property variation in CH3NH3PbI3 polycrystalline film by scanning probe microscopy

Applied Surface Science

Wan-Ci Liao

Bernard Haochih Liu

Ching-Chich Leu

2020/3/30

Multi-channel precision-sparsity-adapted inter-frame differential data codec for video neural network processor

Yixiong Yang

Zhe Yuan

Fang Su

Fanyang Cheng

Zhuqing Yuan

...

2020/8/10

14.2 A 65nm 24.7 µJ/Frame 12.3 mW Activation-Similarity-Aware Convolutional Neural Network Video Processor Using Hybrid Precision, Inter-Frame Data Reuse and Mixed-Bit-Width …

Zhe Yuan

Yixiong Yang

Jinshan Yue

Ruoyang Liu

Xiaoyu Feng

...

2020/2/16

High pe utilization CNN accelerator with channel fusion supporting pattern-compressed sparse neural networks

Jingyu Wang

Songming Yu

Jinshan Yue

Zhe Yuan

Zhuqing Yuan

...

2020/7/20

14.3 A 65nm computing-in-memory-based CNN processor with 2.9-to-35.8 TOPS/W system energy efficiency using dynamic-sparsity performance-scaling architecture and energy …

Jinshan Yue

Zhe Yuan

Xiaoyu Feng

Yifan He

Zhixiao Zhang

...

2020/2/16

Low overhead online data flow tracking for intermittently powered non-volatile FPGAs

ACM Journal on Emerging Technologies in Computing Systems (JETC)

Xinyi Zhang

Clay Patterson

Yongpan Liu

Chengmo Yang

Chun Jason Xue

...

2020/7/1

GAAS: An efficient group associated architecture and scheduler module for sparse CNN accelerators

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Jingyu Wang

Zhe Yuan

Ruoyang Liu

Xiaoyu Feng

Li Du

...

2020/1/13

Intrinsic-to-extrinsic transition in fracture toughness through structural design: A lesson from nature

Extreme Mechanics Letters

Yanjie Jia

He-Ling Wang

Bin Liu

Yonggang Huang

Huajian Gao

2020/4/9

STICKER-T: An energy-efficient neural network processor using block-circulant algorithm and unified frequency-domain acceleration

IEEE Journal of Solid-State Circuits

Jinshan Yue

Yongpan Liu

Ruoyang Liu

Wenyu Sun

Zhe Yuan

...

2020/11/2

See List of Professors in Yongpan Liu University(Tsinghua University)

Co-Authors

H-index: 100
Yuan Xie

Yuan Xie

University of California, Santa Barbara

H-index: 86
Vijaykrishnan Narayanan

Vijaykrishnan Narayanan

Penn State University

H-index: 64
Yu Wang (汪玉)

Yu Wang (汪玉)

Tsinghua University

H-index: 61
Huazhong Yang

Huazhong Yang

Tsinghua University

H-index: 61
Meng-Fan Chang

Meng-Fan Chang

National Tsing Hua University

H-index: 41
Guangyu Sun

Guangyu Sun

Peking University

academic-engine