Sung Kyu Lim

Sung Kyu Lim

Georgia Institute of Technology

H-index: 50

North America-United States

About Sung Kyu Lim

Sung Kyu Lim, With an exceptional h-index of 50 and a recent h-index of 28 (since 2020), a distinguished researcher at Georgia Institute of Technology, specializes in the field of 3D IC, EDA.

His recent articles reflect a diverse array of research interests and contributions to the field:

On Legalization of Die Bonding Bumps and Pads for 3D ICs

FastTuner: Transferable Physical Design Parameter Optimization using Fast Reinforcement Learning

GAN-Place: Advancing Open Source Placers to Commercial-quality Using Generative Adversarial Networks and Transfer Learning

Heterogeneous Monolithic 3-D IC Designs: Challenges, EDA Solutions, and Power, Performance, Cost Tradeoffs

Power, Performance, Area, and Cost Analysis of Face-to-Face Bonded 3D ICs

Abisko: Deep codesign of an architecture for spiking neural networks using novel neuromorphic materials

On Continuing DNN Accelerator Architecture Scaling Using Tightly Coupled Compute-on-Memory 3-D ICs

Hier-3D: A Methodology for Physical Hierarchy Exploration of 3D ICs

Sung Kyu Lim Information

University

Position

Professor

Citations(all)

9841

Citations(since 2020)

3279

Cited By

7863

hIndex(all)

50

hIndex(since 2020)

28

i10Index(all)

210

i10Index(since 2020)

101

Email

University Profile Page

Georgia Institute of Technology

Google Scholar

View Google Scholar Profile

Sung Kyu Lim Skills & Research Interests

3D IC

EDA

Top articles of Sung Kyu Lim

Title

Journal

Author(s)

Publication Date

On Legalization of Die Bonding Bumps and Pads for 3D ICs

Sai Pentapati

Anthony Agnesina

Moritz Brunion

Yen-Hsiang Huang

Sung Kyu Lim

2023/3/26

FastTuner: Transferable Physical Design Parameter Optimization using Fast Reinforcement Learning

Hao-Hsiang Hsiao

Yi-Chen Lu

Pruek Vanna-Iampikul

Sung Kyu Lim

2024/3/12

GAN-Place: Advancing Open Source Placers to Commercial-quality Using Generative Adversarial Networks and Transfer Learning

ACM Transactions on Design Automation of Electronic Systems

Yi-Chen Lu

Haoxing Ren

Hao-Hsiang Hsiao

Sung Kyu Lim

2024/2/15

Heterogeneous Monolithic 3-D IC Designs: Challenges, EDA Solutions, and Power, Performance, Cost Tradeoffs

IEEE Transactions on Very Large Scale Integration (VLSI) Systems

Sai Pentapati

Sung-Kyu Lim

2024/1/4

Power, Performance, Area, and Cost Analysis of Face-to-Face Bonded 3D ICs

IEEE Transactions on Components, Packaging and Manufacturing Technology

Anthony Agnesina

Moritz Brunion

Jinwoo Kim

Alberto Garcia-Ortiz

Dragomir Milojevic

...

2023/4/5

Abisko: Deep codesign of an architecture for spiking neural networks using novel neuromorphic materials

The International Journal of High Performance Computing Applications

Jeffrey S Vetter

Prasanna Date

Farah Fahim

Shruti R Kulkarni

Petro Maksymovych

...

2023/7

On Continuing DNN Accelerator Architecture Scaling Using Tightly Coupled Compute-on-Memory 3-D ICs

IEEE Transactions on Very Large Scale Integration (VLSI) Systems

Gauthaman Murali

Aditya Iyer

Lingjun Zhu

Jianming Tong

Francisco Muñoz Martínez

...

2023/8/16

Hier-3D: A Methodology for Physical Hierarchy Exploration of 3D ICs

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Nesara Eranna Bethur

Anthony Agnesina

Moritz Brunion

Alberto Garcia-Ortiz

Francky Catthoor

...

2023/12/14

DREAM-GAN: Advancing dreamplace towards commercial-quality using generative adversarial learning

Yi-Chen Lu

Haoxing Ren

Hao-Hsiang Hsiao

Sung Kyu Lim

2023/3/26

A 3D Implementation of Convolutional Neural Network for Fast Inference

Narasinga Rao Miniskar

Pruek Vanna-Iampikul

Aaron Young

Sung Kyu Lim

Frank Liu

...

2023/5/21

A Comparative Study on Front-Side, Buried and Back-Side Power Rail Topologies in 3nm Technology Node

Sandra Maria Shaji

Lingjun Zhu

Junsik Yoon

Sung Kyu Lim

2023/8/7

Pin-3D: Effective Physical Design Methodology for Multi-Die Co-Optimization in Monolithic 3D ICs

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Sai Pentapati

Kyungwook Chang

Sung Kyu Lim

2023/11/29

Built-in self-test of high-density and realistic ILV layouts in monolithic 3-D ICs

IEEE Transactions on Very Large Scale Integration (VLSI) Systems

Arjun Chaudhuri

Sanmitra Banerjee

Jinwoo Kim

Sung Kyu Lim

Krishnendu Chakrabarty

2023/1/17

Eco-gnn: Signoff power prediction using graph neural networks with subgraph approximation

ACM Transactions on Design Automation of Electronic Systems

Yi-Chen Lu

Siddhartha Nath

Sai Pentapati

Sung Kyu Lim

2023/5/17

Design Automation Needs for Monolithic 3D ICs: Accomplishments and Gaps

Lingjun Zhu

Sung Kyu Lim

2023/7/9

3DNN-Xplorer: A Machine Learning Framework for Design Space Exploration of Heterogeneous 3D DNN Accelerators

Gauthaman Murali

Aditya Iyer

Navneeth Ravichandran

Sung Kyu Lim

2023/10/28

Circuit Optimization for 2D and 3D ICs with Machine Learning

Anthony Agnesina

Yi-Chen Lu

Sung Kyu Lim

2023/1/1

Transferable Graph Neural Network-based Delay-Fault Localization for Monolithic 3D ICs

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Shao-Chun Hung

Sanmitra Banerjee

Arjun Chaudhuri

Jinwoo Kim

Sung Kyu Lim

...

2023/5/11

Glass Interposer Integration of Logic and Memory Chiplets: PPA and Power/Signal Integrity Benefits

Pruek Vanna-Iampikul

Lingjun Zhu

Serhat Erdogan

Mohanalingam Kathaperumal

Ravi Agarwal

...

2023/7/9

GNN-based Multi-bit Flip-flop Clustering and Post-clustering Design Optimization for Energy-efficient 3D ICs

ACM Transactions on Design Automation of Electronic Systems

Pruek Vanna-Iampikul

Yi-Chen Lu

Da Eun Shim

Sung Kyu Lim

2023/9/8

See List of Professors in Sung Kyu Lim University(Georgia Institute of Technology)

Co-Authors

H-index: 53
Saibal Mukhopadhyay

Saibal Mukhopadhyay

Georgia Institute of Technology

H-index: 21
Dae Hyun Kim

Dae Hyun Kim

Washington State University

H-index: 20
Taigon Song

Taigon Song

Kyungpook National University

H-index: 20
Mongkol Ekpanyapong

Mongkol Ekpanyapong

Asian Institute of Technology

H-index: 16
Moongon Jung

Moongon Jung

Georgia Institute of Technology

H-index: 13
Kyungwook Chang

Kyungwook Chang

Georgia Institute of Technology

academic-engine