Saibal Mukhopadhyay

About Saibal Mukhopadhyay

Saibal Mukhopadhyay, With an exceptional h-index of 53 and a recent h-index of 31 (since 2020), a distinguished researcher at Georgia Institute of Technology, specializes in the field of Electrical and Electronics Engineering, Circuit design, low power.

His recent articles reflect a diverse array of research interests and contributions to the field:

Modulation Recognition with Untrained Deep Neural Network for IoT and Mobile Applications

Towards Robust Real-Time Hardware-based Mobile Malware Detection using Multiple Instance Learning Formulation

Learning Locally Interacting Discrete Dynamical Systems: Towards Data-Efficient and Scalable Prediction

HamQ: Hamming Weight-based Energy Aware Quantization for Analog Compute-In-Memory Accelerator in Intelligent Sensors

Topological Representations of Heterogeneous Learning Dynamics of Recurrent Spiking Neural Networks

Sparse Spiking Neural Network: Exploiting Heterogeneity in Timescales for Pruning Recurrent SNN

Studying the Impact of Stochasticity on the Evaluation of Deep Neural Networks for Forest-Fire Prediction

PRESTO: A Processing-in-Memory-Based -SAT Solver Using Recurrent Stochastic Neural Network With Unsupervised Learning

Saibal Mukhopadhyay Information

University

Position

Professor of Electrical Engineering

Citations(all)

14155

Citations(since 2020)

4715

Cited By

11395

hIndex(all)

53

hIndex(since 2020)

31

i10Index(all)

225

i10Index(since 2020)

113

Email

University Profile Page

Google Scholar

Saibal Mukhopadhyay Skills & Research Interests

Electrical and Electronics Engineering

Circuit design

low power

Top articles of Saibal Mukhopadhyay

Modulation Recognition with Untrained Deep Neural Network for IoT and Mobile Applications

2024/1/21

Saibal Mukhopadhyay
Saibal Mukhopadhyay

H-Index: 29

Towards Robust Real-Time Hardware-based Mobile Malware Detection using Multiple Instance Learning Formulation

arXiv preprint arXiv:2404.13125

2024/4/19

Learning Locally Interacting Discrete Dynamical Systems: Towards Data-Efficient and Scalable Prediction

arXiv preprint arXiv:2404.06460

2024/4/9

HamQ: Hamming Weight-based Energy Aware Quantization for Analog Compute-In-Memory Accelerator in Intelligent Sensors

IEEE Sensors Journal

2024/4/2

Topological Representations of Heterogeneous Learning Dynamics of Recurrent Spiking Neural Networks

arXiv preprint arXiv:2403.12462

2024/3/19

Biswadeep Chakraborty
Biswadeep Chakraborty

H-Index: 3

Saibal Mukhopadhyay
Saibal Mukhopadhyay

H-Index: 29

Sparse Spiking Neural Network: Exploiting Heterogeneity in Timescales for Pruning Recurrent SNN

arXiv preprint arXiv:2403.03409

2024/3/6

Studying the Impact of Stochasticity on the Evaluation of Deep Neural Networks for Forest-Fire Prediction

arXiv preprint arXiv:2402.15163

2024/2/23

PRESTO: A Processing-in-Memory-Based -SAT Solver Using Recurrent Stochastic Neural Network With Unsupervised Learning

IEEE Journal of Solid-State Circuits

2024/1/26

STEMFold: Stochastic Temporal Manifold for Multi-Agent Interactions in the Presence of Hidden Agents

arXiv preprint arXiv:2401.14522

2024/1/25

Biswadeep Chakraborty
Biswadeep Chakraborty

H-Index: 3

Saibal Mukhopadhyay
Saibal Mukhopadhyay

H-Index: 29

BeamCIM: A Compute-In-Memory based Broadband Beamforming Accelerator using Linear Embedding

2024/1/21

On-chip Acceleration of RF Signal Modulation Classification with Short-Time Fourier Transform and Convolutional Neural Network

IEEE Access

2023/12/18

Saibal Mukhopadhyay
Saibal Mukhopadhyay

H-Index: 29

Cool-CIM: Cryogenic Operation of Analog Compute-In-Memory for Improved Power-efficiency

2023/12/9

SNATCH: Stealing Neural Network Architecture from ML Accelerator in Intelligent Sensors

2023/10/29

Energy-Efficient Sensor Platform using Reliable Analog-to-Feature Extraction

2023/10/29

Dynamic Mode Decomposition-inspired Autoencoders for Reduced-order Modeling and Control of PDEs: Theory and Design

2023/10/13

Saibal Mukhopadhyay
Saibal Mukhopadhyay

H-Index: 29

Analysis of Effects of Aging on the Accuracy of Analog Computing-In-Memory Computation

2023/10/8

Sudarshan Sharma
Sudarshan Sharma

H-Index: 1

Saibal Mukhopadhyay
Saibal Mukhopadhyay

H-Index: 29

XMD: An expansive Hardware-telemetry based Mobile Malware Detector for Endpoint Detection

IEEE Transactions on Information Forensics and Security

2023/9/25

A Reconfigurable Quantum State Tomography Solver in FPGA

2023/9/17

Biswadeep Chakraborty
Biswadeep Chakraborty

H-Index: 3

Saibal Mukhopadhyay
Saibal Mukhopadhyay

H-Index: 29

AFE-CIM: A Current-Domain Compute-In-Memory Macro for Analog-to-Feature Extraction

2023/9/11

Hessian-Based Parameter Quantization Method for BERT

2023/8/6

Saibal Mukhopadhyay
Saibal Mukhopadhyay

H-Index: 29

See List of Professors in Saibal Mukhopadhyay University(Georgia Institute of Technology)