Magnus Själander

About Magnus Själander

Magnus Själander, With an exceptional h-index of 21 and a recent h-index of 14 (since 2020), a distinguished researcher at Norges teknisk-naturvitenskaplige universitet, specializes in the field of Computer Architecture, Hardware/Software Co-design, High Efficiency Computing.

His recent articles reflect a diverse array of research interests and contributions to the field:

BISDU: A Bit-Serial Dot-Product Unit for Microcontrollers

Architecting Selective Refresh based Multi-Retention Cache for Heterogeneous System (ARMOUR)

Doppelganger Loads: A Safe, Complexity-Effective Optimization for Secure Speculation Schemes

Embedded Computing Systems

Delay-on-Squash: Stopping Microarchitectural Replay Attacks in Their Tracks

Data-Out Instruction-In (DOIN!): Leveraging Inclusive Caches to Attack Speculative Delay Schemes

flatspin: A large-scale artificial spin ice simulator

STIFF: Thermally Safe Temperature Effect Inversion Aware Fin FET Based Multi-core

Magnus Själander Information

University

Position

Associate Professor (NTNU) and Visiting Senior Lecturer at Uppsala

Citations(all)

1630

Citations(since 2020)

920

Cited By

983

hIndex(all)

21

hIndex(since 2020)

14

i10Index(all)

37

i10Index(since 2020)

21

Email

University Profile Page

Norges teknisk-naturvitenskaplige universitet

Google Scholar

View Google Scholar Profile

Magnus Själander Skills & Research Interests

Computer Architecture

Hardware/Software Co-design

High Efficiency Computing

Top articles of Magnus Själander

Title

Journal

Author(s)

Publication Date

BISDU: A Bit-Serial Dot-Product Unit for Microcontrollers

ACM Transactions on Embedded Computing Systems

David Metz

Vineet Kumar

Magnus Själander

2023/9/26

Architecting Selective Refresh based Multi-Retention Cache for Heterogeneous System (ARMOUR)

Sukarn Agarwal

Shounak Chakraborty

Magnus Själander

2023/7/9

Doppelganger Loads: A Safe, Complexity-Effective Optimization for Secure Speculation Schemes

Amund Bergland Kvalsvik

Pavlos Aimoniotis

Stefanos Kaxiras

Magnus Själander

2023/6/17

Embedded Computing Systems

ACM Transactions on

D Metz

V Kumar

M Själander

H Kwon

H Kim

...

2023

Delay-on-Squash: Stopping Microarchitectural Replay Attacks in Their Tracks

ACM Transactions on Architecture and Code Optimization

Christos Sakalis

Stefanos Kaxiras

Magnus Själander

2022/11/17

Data-Out Instruction-In (DOIN!): Leveraging Inclusive Caches to Attack Speculative Delay Schemes

Pavlos Aimoniotis

Amund Bergland Kvalsvik

Magnus Själander

Stefanos Kaxiras

2022/9/26

flatspin: A large-scale artificial spin ice simulator

Physical Review B

Johannes H Jensen

Anders Strømberg

Odd Rune Lykkebø

Arthur Penty

Jonathan Leliaert

...

2022/8/4

STIFF: Thermally Safe Temperature Effect Inversion Aware Fin FET Based Multi-core

Shounak Chakraborty

Vassos Soteriou

Magnus Själander

2022/5/17

DELICIOUS: Deadline-aware approximate computing in cache-conscious multicore

IEEE Transactions on Parallel and Distributed Systems

Sangeet Saha

Shounak Chakraborty

Sukarn Agarwal

Rahul Gangopadhyay

Magnus Själander

...

2022/12/12

WaFFLe: Gated Cache-Ways with Per-Core Fine-Grained DVFS for Reduced On-Chip Temperature and Leakage Consumption

ACM Transactions on Architecture and Code Optimization (TACO)

Shounak Chakraborty

Magnus Själander

2021/9/3

Systems and methods for invisible speculative execution

2021/11/2

Selectively Delaying Instructions to Prevent Microarchitectural Replay Attacks

arXiv preprint arXiv:2103.10692

Christos Sakalis

Stefanos Kaxiras

Magnus Själander

2021/3/19

Reorder buffer contention: A forward speculative interference attack for speculation invariant instructions

IEEE Computer Architecture Letters

Pavlos Aimoniotis

Christos Sakalis

Magnus Själander

Stefanos Kaxiras

2021/10/27

“It’sa Trap!”—How Speculation Invariance Can Be Abused with Forward Speculative Interference

Pavlos Aimoniotis

Christos Sakalis

Magnus Själander

Stefanos Kaxiras

2021

Prepare: Power-Aware Approximate Real-time Task Scheduling for Energy-Adaptive QoS Maximization

ACM Transactions on Embedded Computing Systems (TECS)

Shounak Chakraborty

Sangeet Saha

Magnus Själander

Klaus Mcdonald-Maier

2021/9/22

Seeds of SEED: Preventing priority inversion in instruction scheduling to disrupt speculative interference

Christos Sakalis

Magnus Själander

Stefanos Kaxiras

2021/9/20

Do not predict–Recompute! How value recomputation can truly boost the performance of invisible speculation

Christos Sakalis

Zamshed I Chowdhury

Shayne Wadle

Ismail Akturk

Alberto Ros

...

2021/9/20

Securing computing systems against microarchitectural replay attacks

2021/11/25

Understanding selective delay as a method for efficient secure speculative execution

IEEE Transactions on Computers

Christos Sakalis

Stefanos Kaxiras

Alberto Ros

Alexandra Jimborean

Magnus Själander

2020/8/5

Twig: Multi-agent task management for colocated latency-critical cloud services

Rajiv Nishtala

Vinicius Petrucci

Paul Carpenter

Magnus Sjalander

2020/2/22

See List of Professors in Magnus Själander University(Norges teknisk-naturvitenskaplige universitet)

Co-Authors

H-index: 83
Margaret Martonosi

Margaret Martonosi

Princeton University

H-index: 50
Per Stenström

Per Stenström

Chalmers tekniska högskola

H-index: 41
David Whalley

David Whalley

Florida State University

H-index: 37
Stefanos Kaxiras

Stefanos Kaxiras

Uppsala Universitet

H-index: 23
Alberto Ros

Alberto Ros

Universidad de Murcia

H-index: 21
Lars Svensson

Lars Svensson

Chalmers tekniska högskola

academic-engine