Gu-Yeon Wei

Gu-Yeon Wei

Harvard University

H-index: 59

North America-United States

About Gu-Yeon Wei

Gu-Yeon Wei, With an exceptional h-index of 59 and a recent h-index of 39 (since 2020), a distinguished researcher at Harvard University, specializes in the field of integrated circuits, computer architecture.

His recent articles reflect a diverse array of research interests and contributions to the field:

Application-Level Validation of Accelerator Designs Using a Formal Software/Hardware Interface

CAMEL: Co-Designing AI Models and eDRAMs for Efficient On-Device Learning

Hardware Resilience Properties of Text-Guided Image Classifiers

: Increasing GPU Utilization during Generative Inference for Higher Throughput

Guac: Energy-Aware and SSA-Based Generation of Coarse-Grained Merged Accelerators from LLVM-IR

Flash: A Hybrid Private Inference Protocol for Deep CNNs with High Accuracy and Low Latency on CPU

14.5 A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management and Flexible NoC-Based Data Orchestration

A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management, and Flexible NoC-Based Data Orchestration

Gu-Yeon Wei Information

University

Position

Professor of EE and CS

Citations(all)

14268

Citations(since 2020)

6795

Cited By

9883

hIndex(all)

59

hIndex(since 2020)

39

i10Index(all)

162

i10Index(since 2020)

110

Email

University Profile Page

Harvard University

Google Scholar

View Google Scholar Profile

Gu-Yeon Wei Skills & Research Interests

integrated circuits

computer architecture

Top articles of Gu-Yeon Wei

Title

Journal

Author(s)

Publication Date

Application-Level Validation of Accelerator Designs Using a Formal Software/Hardware Interface

ACM Transactions on Design Automation of Electronic Systems

Bo-Yuan Huang

Steven Lyubomirsky

Yi Li

Mike He

Gus Henry Smith

...

2024/2/15

CAMEL: Co-Designing AI Models and eDRAMs for Efficient On-Device Learning

Sai Qian Zhang

Thierry Tambe

Nestor Cuevas

Gu-Yeon Wei

David Brooks

2024/3/2

Hardware Resilience Properties of Text-Guided Image Classifiers

Advances in Neural Information Processing Systems

Syed Talal Wasim

Kabila Haile Soboka

Abdulrahman Mahmoud

Salman H Khan

David Brooks

...

2024/2/13

: Increasing GPU Utilization during Generative Inference for Higher Throughput

Advances in Neural Information Processing Systems

Yunho Jin

Chun-Feng Wu

David Brooks

Gu-Yeon Wei

2024/2/13

Guac: Energy-Aware and SSA-Based Generation of Coarse-Grained Merged Accelerators from LLVM-IR

arXiv preprint arXiv:2402.13513

Iulian Brumar

Rodrigo Rocha

Alex Bernat

Devashree Tripathy

David Brooks

...

2024/2/21

Flash: A Hybrid Private Inference Protocol for Deep CNNs with High Accuracy and Low Latency on CPU

arXiv preprint arXiv:2401.16732

Hyeri Roh

Jinsu Yeo

Yeongil Ko

Gu-Yeon Wei

David Brooks

...

2024/1/30

14.5 A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management and Flexible NoC-Based Data Orchestration

Maico Cassel Dos Santos

Tianyu Jia

Joseph Zuckerman

Martin Cochet

Davide Giri

...

2024/2/18

A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management, and Flexible NoC-Based Data Orchestration

Maico Cassel Dos Santos

Tianyu Jia

Joseph Zuckerman

Martin Cochet

Davide Giri

...

2024/2/18

A binary-activation, multi-level weight RNN and training algorithm for ADC-/DAC-free and noise-resilient processing-in-memory inference with eNVM

IEEE Transactions on Emerging Topics in Computing

Siming Ma

David Brooks

Gu-Yeon Wei

2023/2/8

Is the Future Cold or Tall? Design Space Exploration of Cryogenic and 3D Embedded Cache Memory

Alexander Hankin

Lillian Pentecost

Dongmoon Min

David Brooks

Gu-Yeon Wei

2023/4/23

Carbon-Efficient Design Optimization for Computing Systems

Mariam Elgamal

Doug Carmean

Elnaz Ansari

Okay Zed

Ramesh Peri

...

2023/7/9

Silent Data Corruption in Robot Operating System: A Case for End-to-End System-Level Fault Analysis Using Autonomous UAVs

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Yu-Shun Hsiao

Zishen Wan

Tianyu Jia

Radhika Ghosal

Abdulrahman Mahmoud

...

2023/11/13

PerfSAGE: Generalized Inference Performance Predictor for Arbitrary Deep Learning Models on Edge Devices

arXiv preprint arXiv:2301.10999

Yuji Chai

Devashree Tripathy

Chuteng Zhou

Dibakar Gope

Igor Fedorov

...

2023/1/26

Trireme: Exploration of hierarchical multi-level parallelism for hardware acceleration

ACM Transactions on Embedded Computing Systems

Georgios Zacharopoulos

Adel Ejjeh

Ying Jing

En-Yu Yang

Tianyu Jia

...

2023/4/20

Int2. 1: Towards fine-tunable quantized large language models with error correction through low-rank adaptation

arXiv preprint arXiv:2306.08162

Yuji Chai

John Gkountouras

Glenn G Ko

David Brooks

Gu-Yeon Wei

2023/6/13

MAD Max Beyond Single-Node: Enabling Large Machine Learning Model Acceleration on Distributed Systems

arXiv preprint arXiv:2310.02784

Samuel Hsia

Alicia Golden

Bilge Acun-Uyan

Newsha Ardalani

Zachary DeVito

...

2023/10/4

Gpu-based private information retrieval for on-device machine learning inference

arXiv preprint arXiv:2301.10904

Maximilian Lam

Jeff Johnson

Wenjie Xiong

Kiwan Maeng

Udit Gupta

...

2023/1/26

Mavfi: An end-to-end fault analysis framework with anomaly detection and recovery for micro aerial vehicles

Yu-Shun Hsiao

Zishen Wan

Tianyu Jia

Radhika Ghosal

Abdulrahman Mahmoud

...

2023/4/17

Camel: Co-designing ai models and embedded drams for efficient on-device learning

arXiv preprint arXiv:2305.03148

Sai Qian Zhang

Thierry Tambe

Nestor Cuevas

Gu-Yeon Wei

David Brooks

2023/5/4

VelociTI: An Architecture-level Performance Modeling Framework for Trapped Ion Quantum Computers

Alexander Hankin

Abdulrahman Mahmoud

Mark Hempstead

David Brooks

Gu-Yeon Wei

2023/10/1

See List of Professors in Gu-Yeon Wei University(Harvard University)

Co-Authors

H-index: 102
Robert J Wood

Robert J Wood

Harvard University

H-index: 66
David Brooks

David Brooks

Harvard University

H-index: 48
Michael D. Smith

Michael D. Smith

Harvard University

H-index: 46
Vijay Janapa Reddi

Vijay Janapa Reddi

Harvard University

H-index: 25
Yakun Sophia Shao

Yakun Sophia Shao

University of California, Berkeley

H-index: 23
Brandon Reagen

Brandon Reagen

New York University

academic-engine