Deming Chen

Deming Chen

University of Illinois at Urbana-Champaign

H-index: 57

North America-United States

About Deming Chen

Deming Chen, With an exceptional h-index of 57 and a recent h-index of 40 (since 2020), a distinguished researcher at University of Illinois at Urbana-Champaign, specializes in the field of High-level Synthesis, Hybrid Cloud, FPGAs, Machine Learning, Hardware Security.

His recent articles reflect a diverse array of research interests and contributions to the field:

HomeSGN: A Smarter Home with Novel Rule Mining Enabled by a Scorer-Generator GAN

Software/Hardware Co-design for LLM and Its Application for Design Verification

Subgraph Extraction-based Feedback-guided Iterative Scheduling for HLS

HIDA: A Hierarchical Dataflow Compiler for High-Level Synthesis

PandoGen: Generating complete instances of future SARS-CoV-2 sequences using Deep Learning

Cybersecurity for Modern Smart Grid Against Emerging Threats

On the Surprising Efficacy of Distillation as an Alternative to Pre-Training Small Models

Nimblock: Scheduling for fine-grained fpga sharing through virtualization

Deming Chen Information

University

Position

Abel Bliss Professor.

Citations(all)

12056

Citations(since 2020)

7596

Cited By

6884

hIndex(all)

57

hIndex(since 2020)

40

i10Index(all)

168

i10Index(since 2020)

123

Email

University Profile Page

University of Illinois at Urbana-Champaign

Google Scholar

View Google Scholar Profile

Deming Chen Skills & Research Interests

High-level Synthesis

Hybrid Cloud

FPGAs

Machine Learning

Hardware Security

Top articles of Deming Chen

Title

Journal

Author(s)

Publication Date

HomeSGN: A Smarter Home with Novel Rule Mining Enabled by a Scorer-Generator GAN

Zehua Yuan

Junhao Pan

Xiaofan Zhang

Deming Chen

2024/1/22

Software/Hardware Co-design for LLM and Its Application for Design Verification

Lily Jiaxin Wan

Yingbing Huang

Yuhong Li

Hanchen Ye

Jinghua Wang

...

2024/1/22

Subgraph Extraction-based Feedback-guided Iterative Scheduling for HLS

arXiv preprint arXiv:2401.12343

Hanchen Ye

David Z Pan

Chris Leary

Deming Chen

Xiaoqing Xu

2024/1/22

HIDA: A Hierarchical Dataflow Compiler for High-Level Synthesis

Hanchen Ye

Hyegang Jun

Deming Chen

2024/4/27

PandoGen: Generating complete instances of future SARS-CoV-2 sequences using Deep Learning

PLoS computational biology

Anand Ramachandran

Steven S Lumetta

Deming Chen

2024/1/19

Cybersecurity for Modern Smart Grid Against Emerging Threats

arXiv preprint arXiv:2404.04466

Daisuke Mashima

Yao Chen

Muhammad M Roomi

Subhash Lakshminarayana

Deming Chen

2024/4/6

On the Surprising Efficacy of Distillation as an Alternative to Pre-Training Small Models

arXiv preprint arXiv:2404.03263

Sean Farhat

Deming Chen

2024/4/4

Nimblock: Scheduling for fine-grained fpga sharing through virtualization

Meghna Mandava

Paul Reckamp

Deming Chen

2023/6/17

RackBlox: A Software-Defined Rack-Scale Storage System with Network-Storage Co-Design

Benjamin Reidys

Yuqi Xue

Daixuan Li

Bharat Sukhwani

Wen-mei Hwu

...

2023/10/23

High-level synthesis for domain specific computing

Hanchen Ye

Hyegang Jun

Jin Yang

Deming Chen

2023/3/26

FSSD: FPGA-based Emulator for SSDs

Luyang Yu

Yizhen Lu

Meghna Mandava

Edward Richter

Vikram Sharma Mailthody

...

2023/9/4

CHARM: Composing Heterogeneous AcceleRators for Matrix Multiply on Versal ACAP Architecture

Jinming Zhuang

Jason Lau

Hanchen Ye

Zhuoping Yang

Yubo Du

...

2023/2/12

AccShield: a New Trusted Execution Environment with Machine-Learning Accelerators

Wei Ren

William Kozlowski

Sandhya Koteshwara

Mengmei Ye

Hubertus Franke

...

2023/7/9

Extensible and efficient proxy for neural architecture search

Yuhong Li

Jiajie Li

Cong Hao

Pan Li

Jinjun Xiong

...

2023

Lightning Talk: The Next Wave of High-level Synthesis

Deming Chen

2023/7/9

Autoscaledse: A scalable design space exploration engine for high-level synthesis

ACM Transactions on Reconfigurable Technology and Systems

HyeGang Jun

Hanchen Ye

Hyunmin Jeong

Deming Chen

2023/6/21

SSDe: FPGA-Based SSD Express Emulation Framework

Yizhen Lu

Luyang Yu

Deming Chen

2023/10/28

Algorithm/Accelerator co-design and co-search for edge AI

IEEE Transactions on Circuits and Systems II: Express Briefs

Xiaofan Zhang

Yuhong Li

Junhao Pan

Deming Chen

2022/5/30

ThunderGP: resource-efficient graph processing framework on FPGAs with hls

ACM Transactions on Reconfigurable Technology and Systems

Xinyu Chen

Feng Cheng

Hongshi Tan

Yao Chen

Bingsheng He

...

2022/12/9

ReAAP: A Reconfigurable and Algorithm-Oriented Array Processor With Compiler-Architecture Co-Design

IEEE Transactions on Computers

Jianwei Zheng

Yu Liu

Xuejiao Liu

Luhong Liang

Deming Chen

...

2022/10/10

See List of Professors in Deming Chen University(University of Illinois at Urbana-Champaign)

Co-Authors

H-index: 62
Martin D. F. Wong

Martin D. F. Wong

University of Illinois at Urbana-Champaign

H-index: 53
Yao Chen

Yao Chen

Shandong University

H-index: 43
Yun (Eric) Liang

Yun (Eric) Liang

Peking University

H-index: 40
Zhiru Zhang

Zhiru Zhang

Cornell University

H-index: 19
Xiaofan Zhang

Xiaofan Zhang

University of Illinois at Urbana-Champaign

H-index: 19
Cong (Callie) Hao

Cong (Callie) Hao

Georgia Institute of Technology

academic-engine