Daniel Sanchez

Daniel Sanchez

Massachusetts Institute of Technology

H-index: 37

North America-United States

About Daniel Sanchez

Daniel Sanchez, With an exceptional h-index of 37 and a recent h-index of 31 (since 2020), a distinguished researcher at Massachusetts Institute of Technology, specializes in the field of Computer Architecture, Computer Systems.

His recent articles reflect a diverse array of research interests and contributions to the field:

BitPacker: Enabling High Arithmetic Efficiency in Fully Homomorphic Encryption Accelerators

Spatula: A Hardware Accelerator for Sparse Matrix Factorization

Accelerating RTL Simulation with Hardware-Software Co-Design

ISOSceles: Accelerating Sparse CNNs through Inter-Layer Pipelining

Phloem: Automatic Acceleration of Irregular Applications with Fine-Grain Pipeline Parallelism

Designing Hardware for Cryptography and Cryptography for Hardware

CraterLake: A Hardware Accelerator for Efficient Unbounded Computation on Encrypted Data

An Architecture to Accelerate Computation on Encrypted Data

Daniel Sanchez Information

University

Position

___

Citations(all)

5734

Citations(since 2020)

3561

Cited By

3373

hIndex(all)

37

hIndex(since 2020)

31

i10Index(all)

55

i10Index(since 2020)

55

Email

University Profile Page

Massachusetts Institute of Technology

Google Scholar

View Google Scholar Profile

Daniel Sanchez Skills & Research Interests

Computer Architecture

Computer Systems

Top articles of Daniel Sanchez

Title

Journal

Author(s)

Publication Date

BitPacker: Enabling High Arithmetic Efficiency in Fully Homomorphic Encryption Accelerators

Nikola Samardzic

Daniel Sanchez

2024/4/27

Spatula: A Hardware Accelerator for Sparse Matrix Factorization

Axel Feldmann

Daniel Sanchez

2023/10/28

Accelerating RTL Simulation with Hardware-Software Co-Design

Fares Elsabbagh

Shabnam Sheikhha

Victor A Ying

Quan M Nguyen

Joel S Emer

...

2023/10/28

ISOSceles: Accelerating Sparse CNNs through Inter-Layer Pipelining

Yifan Yang

Joel S Emer

Daniel Sanchez

2023/2

Phloem: Automatic Acceleration of Irregular Applications with Fine-Grain Pipeline Parallelism

Quan M. Nguyen

Daniel Sanchez

2023/2

Designing Hardware for Cryptography and Cryptography for Hardware

Srinivas Devadas

Simon Langowski

Nikola Samardzic

Sacha Servan-Schreiber

Daniel Sanchez

2022/11/7

CraterLake: A Hardware Accelerator for Efficient Unbounded Computation on Encrypted Data

Nikola Samardzic

Axel Feldmann

Aleksandar Krastev

Nathan Manohar

Nicholas Genise

...

2022/6/18

An Architecture to Accelerate Computation on Encrypted Data

IEEE Micro

Axel Feldmann

Nikola Samardzic

Aleksandar Krastev

Srinivas Devadas

Ron Dreslinski

...

2022/4/28

Datamime: Generating Representative Benchmarks by Automatically Synthesizing Datasets

Huyn Ryong Lee

Daniel Sanchez

2022/10

SpZip: Architectural Support for Effective Data Compression In Irregular Applications

Proceedings of the 48th International Symposium in Computer Architecture (ISCA-48)

Yifan Yang

Joel S. Emer

Daniel Sanchez

2021/6

Taming the Zoo: The Unified GraphIt Compiler Framework for Novel Architectures

Ajay Brahmakshatriya

Emily Furst

Victor A Ying

Claire Hsu

Changwan Hong

...

2021/6/14

Leaking Secrets Through Compressed Caches

IEEE Micro

Andres Sanchez

Christopher W Fletcher

Daniel Sanchez

2021/5

Fifer: Practical Acceleration of Irregular Applications on Reconfigurable Architectures

Quan M. Nguyen

Daniel Sanchez

2021/10

Gamma: leveraging Gustavson’s algorithm to accelerate sparse matrix multiplication

Guowei Zhang

Nithya Attaluri

Joel S Emer

Daniel Sanchez

2021/4/19

F1: A Fast and Programmable Accelerator for Fully Homomorphic Encryption

Nikola Samardzic

Axel Feldmann

Aleksandar Krastev

Srinivas Devadas

Ronald Dreslinski

...

2021/10/18

There’s plenty of room at the Top: What will drive computer performance after Moore’s law?

Charles E Leiserson

Neil C Thompson

Joel S Emer

Bradley C Kuszmaul

Butler W Lampson

...

2020/6/5

T4: Compiling Sequential Code for Effective Speculative Parallelization in Hardware

Victor A Ying

Mark C Jeffrey

Daniel Sanchez

2020/5/30

Chronos: Efficient Speculative Parallelism for Accelerators

Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-XXV)

Maleen Abeydeera

Daniel Sanchez

2020

Livia: Data-Centric Computing Throughout the Memory Hierarchy

Elliot Lockerman

Axel Feldmann

Mohammad Bakhshalipour

Alexandru Stanescu

Shashwat Gupta

...

2020

Safecracker: Leaking Secrets through Compressed Caches

Po-An Tsai

Andres Sanchez

Christopher W Fletcher

Daniel Sanchez

2020/3/9

See List of Professors in Daniel Sanchez University(Massachusetts Institute of Technology)

Co-Authors

H-index: 107
Srinivas Devadas

Srinivas Devadas

Massachusetts Institute of Technology

H-index: 90
Christos Kozyrakis

Christos Kozyrakis

Stanford University

H-index: 71
Joel Emer

Joel Emer

Massachusetts Institute of Technology

H-index: 42
Ronald Dreslinski

Ronald Dreslinski

University of Michigan-Dearborn

H-index: 25
Nathan Beckmann

Nathan Beckmann

Carnegie Mellon University

academic-engine