Ronald Dreslinski

Ronald Dreslinski

University of Michigan

H-index: 42

North America-United States

About Ronald Dreslinski

Ronald Dreslinski, With an exceptional h-index of 42 and a recent h-index of 27 (since 2020), a distinguished researcher at University of Michigan, specializes in the field of Computer Architecture.

His recent articles reflect a diverse array of research interests and contributions to the field:

A Fully Configurable Unified FEC Decoder for LDPC, Polar, Turbo, and Convolutional Codes with Row-First Collision-Free Compression

FALCON: An FPGA Emulation Platform for Domain-Specific Systems-on-Chip (DSSoCs)

Open Information Extraction: A Review of Baseline Techniques, Approaches, and Applications

PCSPOOF: Compromising the safety of time-triggered ethernet

CrossTalk: Making Low-Latency Fault Tolerance Cheap by Exploiting Redundant Networks

MTrainS: Improving DLRM training efficiency using heterogeneous memories

RecPIM: A PIM-Enabled DRAM-RRAM Hybrid Memory System For Recommendation Models

GRACE: A Scalable Graph-Based Approach to Accelerating Recommendation Model Inference

Ronald Dreslinski Information

University

Position

___

Citations(all)

8509

Citations(since 2020)

3238

Cited By

6484

hIndex(all)

42

hIndex(since 2020)

27

i10Index(all)

100

i10Index(since 2020)

67

Email

University Profile Page

University of Michigan

Google Scholar

View Google Scholar Profile

Ronald Dreslinski Skills & Research Interests

Computer Architecture

Top articles of Ronald Dreslinski

Title

Journal

Author(s)

Publication Date

A Fully Configurable Unified FEC Decoder for LDPC, Polar, Turbo, and Convolutional Codes with Row-First Collision-Free Compression

Authorea Preprints

Yufan Yue

Seungkyu Choi

Tutu Ajayi

Xiangdong Wei

Ronald Dreslinski

...

2024/4/9

FALCON: An FPGA Emulation Platform for Domain-Specific Systems-on-Chip (DSSoCs)

IEEE Design & Test

Anish Krishnakumar

Hanguang Yu

Tutu Ajayi

A Alper Goksoy

Vishrut Pandey

...

2023/6/30

Open Information Extraction: A Review of Baseline Techniques, Approaches, and Applications

Serafina Kamp

Morteza Fayazi

Zineb Benameur-El

Shuyan Yu

Ronald Dreslinski

2023/10/18

PCSPOOF: Compromising the safety of time-triggered ethernet

Andrew Loveless

Linh Thi Xuan Phan

Ronald Dreslinski

Baris Kasikci

2022/10/6

CrossTalk: Making Low-Latency Fault Tolerance Cheap by Exploiting Redundant Networks

ACM Transactions on Embedded Computing Systems

Andrew Loveless

Linh Thi Xuan Phan

Lisa Erickson

Ronald Dreslinski

Baris Kasikci

2023/9/9

MTrainS: Improving DLRM training efficiency using heterogeneous memories

arXiv preprint arXiv:2305.01515

Hiwot Tadese Kassa

Paul Johnson

Jason Akers

Mrinmoy Ghosh

Andrew Tulloch

...

2023/4/19

RecPIM: A PIM-Enabled DRAM-RRAM Hybrid Memory System For Recommendation Models

Heewoo Kim

Haojie Ye

Trevor Mudge

Ronald Dreslinski

Nishil Talati

2023/8/7

GRACE: A Scalable Graph-Based Approach to Accelerating Recommendation Model Inference

Haojie Ye

Sanketh Vedula

Yuhan Chen

Yichen Yang

Alex Bronstein

...

2023/3/25

AnGeL: Fully-Automated Analog Circuit Generator Using a Neural Network Assisted Semi-Supervised Learning Approach

IEEE Transactions on Circuits and Systems I: Regular Papers

Morteza Fayazi

Morteza Tavakoli Taba

Ehsan Afshari

Ronald Dreslinski

2023/7/21

Demystifying Graph Sparsification Algorithms in Graph Properties Preservation

Proceedings of the VLDB Endowment

Yuhan Chen

Haojie Ye

Sanketh Vedula

Alex Bronstein

Ronald Dreslinski

...

2023/11/1

Accelerating Graph Analytics on a Reconfigurable Architecture with a Data-Indirect Prefetcher

arXiv preprint arXiv:2301.12312

Yichen Yang

Jingtao Li

Nishil Talati

Subhankar Pal

Siying Feng

...

2023/1/29

Chex-Mix: Combining Homomorphic Encryption with Trusted Execution Environments for Oblivious Inference in the Cloud

Deepika Natarajan

Andrew Loveless

Wei Dai

Ronald Dreslinski

2023/7/3

FuNToM: Functional Modeling of RF Circuits Using a Neural Network Assisted Two-Port Analysis Method

Morteza Fayazi

Morteza Tavakoli Taba

Amirata Tabatabavakili

Ehsan Afshari

Ronald Dreslinski

2023/10/28

Squaring the circle: Executing Sparse Matrix Computations on FlexTPU---A TPU-Like Processor

Xin He

Kuan-Yu Chen

Siying Feng

Hun-Seok Kim

David Blaauw

...

2022/10/8

A Unified Forward Error Correction Accelerator for Multi-Mode Turbo, LDPC, and Polar Decoding

Yufan Yue

Tutu Ajayi

Xueyang Liu

Peiwen Xing

Zihan Wang

...

2022/8/1

Finding and Indexing Vehicle Maneuvers From Dashboard Camera Video

IEEE Transactions on Intelligent Transportation Systems

Stephen A Zekany

Thomas F Larsen

Ronald G Dreslinski

Thomas F Wenisch

2022/2/15

An Architecture to Accelerate Computation on Encrypted Data

IEEE Micro

Axel Feldmann

Nikola Samardzic

Aleksandar Krastev

Srinivas Devadas

Ron Dreslinski

...

2022/4/28

Locality-Aware Optimizations for Improving Remote Memory Latency in Multi-GPU Systems

Leul Belayneh

Haojie Ye

Kuan-Yu Chen

David Blaauw

Trevor Mudge

...

2022/10/8

Ndminer: accelerating graph pattern mining using near data processing

Nishil Talati

Haojie Ye

Yichen Yang

Leul Belayneh

Kuan-Yu Chen

...

2022/6/18

Versa: A 36-Core Systolic Multiprocessor With Dynamically Reconfigurable Interconnect and Memory

IEEE Journal of Solid-State Circuits

Sung Kim

Morteza Fayazi

Alhad Daftardar

Kuan-Yu Chen

Jielun Tan

...

2022/1/31

See List of Professors in Ronald Dreslinski University(University of Michigan)

Co-Authors

H-index: 103
David Blaauw

David Blaauw

University of Michigan-Dearborn

H-index: 95
Dennis Sylvester

Dennis Sylvester

University of Michigan-Dearborn

H-index: 78
Trevor Mudge

Trevor Mudge

University of Michigan-Dearborn

academic-engine