Ayse K Coskun

Ayse K Coskun

Boston University

H-index: 35

North America-United States

About Ayse K Coskun

Ayse K Coskun, With an exceptional h-index of 35 and a recent h-index of 22 (since 2020), a distinguished researcher at Boston University, specializes in the field of thermal management, electronic design automation, datacenter, energy-efficient computing, computer systems.

His recent articles reflect a diverse array of research interests and contributions to the field:

Compact Thermal Modeling of Emerging Cooling Technologies for Processors

Data center and load aggregator coordination towards electricity demand response

Runtime Performance Anomaly Diagnosis in Production HPC Systems Using Active Learning

A New Dataflow Implementation to Improve Energy Efficiency of Monolithic 3D Systolic Arrays

Tread-m3d: Temperature-aware dnn accelerators for monolithic 3d mobile systems

Enabling Privacy-preserving Multidimensional Network Telemetry with Autoencoders

Temperature-aware sizing of multi-chip module accelerators for multi-DNN workloads

Efficient Navigation of Cloud Performance with’nuffTrace

Ayse K Coskun Information

University

Position

Professor in ECE Department

Citations(all)

5400

Citations(since 2020)

2053

Cited By

4220

hIndex(all)

35

hIndex(since 2020)

22

i10Index(all)

105

i10Index(since 2020)

69

Email

University Profile Page

Boston University

Google Scholar

View Google Scholar Profile

Ayse K Coskun Skills & Research Interests

thermal management

electronic design automation

datacenter

energy-efficient computing

computer systems

Top articles of Ayse K Coskun

Title

Journal

Author(s)

Publication Date

Compact Thermal Modeling of Emerging Cooling Technologies for Processors

Zihao Yuan

Sherief Reda

Ayse K Coskun

2024

Data center and load aggregator coordination towards electricity demand response

Sustainable Computing: Informatics and Systems

Yijia Zhang

Athanasios Tsiligkaridis

Ioannis Ch Paschalidis

Ayse K Coskun

2024/4/1

Runtime Performance Anomaly Diagnosis in Production HPC Systems Using Active Learning

IEEE Transactions on Parallel and Distributed Systems

Burak Aksar

Efe Sencan

Benjamin Schwaller

Omar Aaziz

Vitus J Leung

...

2024/2/13

A New Dataflow Implementation to Improve Energy Efficiency of Monolithic 3D Systolic Arrays

arXiv preprint arXiv:2401.03585

Prachi Shukla

Vasilis F Pavlidis

Emre Salman

Ayse K Coskun

2024/1/7

Tread-m3d: Temperature-aware dnn accelerators for monolithic 3d mobile systems

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Prachi Shukla

Vasilis F Pavlidis

Emre Salman

Ayse K Coskun

2023/6/12

Enabling Privacy-preserving Multidimensional Network Telemetry with Autoencoders

Yajie Zhou

Jason Li

Gianluca Stringhini

Ayse K Coskun

Zaoxing Liu

2023/9/25

Temperature-aware sizing of multi-chip module accelerators for multi-DNN workloads

Prachi Shukla

Derrick Aguren

Tom Burd

Ayse K Coskun

John Kalamatianos

2023/4/17

Efficient Navigation of Cloud Performance with’nuffTrace

Syed Qasim

Mert Toslali

Quentin Clark

Srinivasan Parthasarathy

Fabio Oliveira

...

2023/9/25

Can Large Language Models Identify And Reason About Security Vulnerabilities? Not Yet

arXiv preprint arXiv:2312.12575

Saad Ullah

Mingji Han

Saurabh Pujar

Hammond Pearce

Ayse Coskun

...

2023/12/19

MicroFaaS on OpenFaaS: An Embedded Platform for Running Cloud Functions

Abin B George

Anthony Byrne

Ayse K Coskun

2023/9/25

An End-to-End HPC Framework for Dynamic Power Objectives

Daniel C Wilson

Fatih Acun

Siddhartha Jana

Federico Ardanaz

Jonathan M Eastep

...

2023/11/12

Towards Practical Machine Learning Frameworks for Performance Diagnostics in Supercomputers

Burak Aksar

Efe Sencan

Benjamin Schwaller

Vitus J Leung

Jim Brandt

...

2023/8/10

Prodigy: Towards unsupervised anomaly detection in production hpc systems

Burak Aksar

Efe Sencan

Benjamin Schwaller

Omar Aaziz

Vitus J Leung

...

2023/11/12

Processing-in-Memory Using Optically-Addressed Phase Change Memory

Guowei Yang

Cansu Demirkiran

Zeynep Ece Kizilates

Carlos A Ríos Ocampo

Ayse K Coskun

...

2023/8/7

Poster Paper: Efficient Navigation of Cloud Performance with’nuffTrace

S Qasim

M Toslali

Q Clark

S Parthasarathy

F Oliveira

...

2023/9/25

High bandwidth thermal covert channel in 3-d-integrated multicore processors

IEEE Transactions on Very Large Scale Integration (VLSI) Systems

Krithika Dhananjay

Vasilis F Pavlidis

Ayse K Coskun

Emre Salman

2022/9/20

Microfaas: Energy-efficient serverless on bare-metal single-board computers

Anthony Byrne

Yanni Pang

Allen Zou

Shripad Nadgowda

Ayse K Coskun

2022/3/14

Site-Wide HPC Data Center Demand Response

Daniel C Wilson

Ioannis Ch Paschalidis

Ayse K Coskun

2022/9/19

Neural network-based cooling design for high-performance processors

Iscience

Zihao Yuan

Ayse K Coskun

2022/1/21

Albadross: Active learning based anomaly diagnosis for production hpc systems

Burak Aksar

Efe Sencan

Benjamin Schwaller

Omar Aaziz

Vitus J Leung

...

2022/9/5

See List of Professors in Ayse K Coskun University(Boston University)

Co-Authors

H-index: 71
Tajana Simunic Rosing

Tajana Simunic Rosing

University of California, San Diego

H-index: 55
David Atienza

David Atienza

École Polytechnique Fédérale de Lausanne

H-index: 42
Sherief Reda

Sherief Reda

Brown University

H-index: 38
Michael Caramanis

Michael Caramanis

Boston University

H-index: 35
Manuel Egele

Manuel Egele

Boston University

H-index: 30
Ajay Joshi

Ajay Joshi

Boston University

academic-engine