Sherief Reda

Sherief Reda

Brown University

H-index: 42

North America-United States

About Sherief Reda

Sherief Reda, With an exceptional h-index of 42 and a recent h-index of 23 (since 2020), a distinguished researcher at Brown University, specializes in the field of Energy-Efficient Computing, Approximate Computing, Design Automation, Embedded Systems, Optimization.

His recent articles reflect a diverse array of research interests and contributions to the field:

torchmSAT: A GPU-Accelerated Approximation To The Maximum Satisfiability Problem

Compact Thermal Modeling of Emerging Cooling Technologies for Processors

Analyzing the Impact of Data Selection and Fine-Tuning on Economic and Political Biases in LLMs

PikeLPN: Mitigating Overlooked Inefficiencies of Low-Precision Neural Networks

MTLoRA: A Low-Rank Adaptation Approach for Efficient Multi-Task Learning

AdaMTL: Adaptive Input-dependent Inference for Efficient Multi-Task Learning

WeNet: Configurable Neural Network with Dynamic Weight-Enabling for Efficient Inference

Automatic MILP solver configuration by learning problem similarities

Sherief Reda Information

University

Position

Professor

Citations(all)

5621

Citations(since 2020)

2603

Cited By

4021

hIndex(all)

42

hIndex(since 2020)

23

i10Index(all)

95

i10Index(since 2020)

54

Email

University Profile Page

Brown University

Google Scholar

View Google Scholar Profile

Sherief Reda Skills & Research Interests

Energy-Efficient Computing

Approximate Computing

Design Automation

Embedded Systems

Optimization

Top articles of Sherief Reda

Title

Journal

Author(s)

Publication Date

torchmSAT: A GPU-Accelerated Approximation To The Maximum Satisfiability Problem

arXiv preprint arXiv:2402.03640

Abdelrahman Hosny

Sherief Reda

2024/2/6

Compact Thermal Modeling of Emerging Cooling Technologies for Processors

Zihao Yuan

Sherief Reda

Ayse K Coskun

2024

Analyzing the Impact of Data Selection and Fine-Tuning on Economic and Political Biases in LLMs

arXiv preprint arXiv:2404.08699

Ahmed Agiza

Mohamed Mostagir

Sherief Reda

2024/4/10

PikeLPN: Mitigating Overlooked Inefficiencies of Low-Precision Neural Networks

arXiv preprint arXiv:2404.00103

Marina Neseem

Conor McCullough

Randy Hsin

Chas Leichner

Shan Li

...

2024/3/29

MTLoRA: A Low-Rank Adaptation Approach for Efficient Multi-Task Learning

arXiv preprint arXiv:2403.20320

Ahmed Agiza

Marina Neseem

Sherief Reda

2024/3/29

AdaMTL: Adaptive Input-dependent Inference for Efficient Multi-Task Learning

Marina Neseem

Ahmed Agiza

Sherief Reda

2023

WeNet: Configurable Neural Network with Dynamic Weight-Enabling for Efficient Inference

Jingxiao Ma

Sherief Reda

2023/8/7

Automatic MILP solver configuration by learning problem similarities

Annals of Operations Research

Abdelrahman Hosny

Sherief Reda

2023/7/14

Microscale 3-D Capacitance Tomography with a CMOS Sensor Array

Manar Abdelatty

Joseph Incandela

Kangping Hu

Joseph W Larkin

Sherief Reda

...

2023/10/19

Deep neural network

2023/5/11

Methods of chemical computation

2023/10/17

Digital circuits and neural networks based on acid-base chemistry implemented by robotic fluid handling

Nature communications

Ahmed A Agiza

Kady Oakley

Jacob K Rosenstein

Brenda M Rubenstein

Eunsuk Kim

...

2023/1/30

Throughput Maximization of DNN Inference: Batching or Multi-Tenancy?

arXiv preprint arXiv:2308.13803

Seyed Morteza Nabavinejad

Masoumeh Ebrahimi

Sherief Reda

2023/8/26

RUCA: RUntime Configurable Approximate Circuits with Self-Correcting Capability

Jingxiao Ma

Sherief Reda

2023/1/16

Coordinated batching and dvfs for dnn inference on gpu accelerators

IEEE transactions on parallel and distributed systems

Seyed Morteza Nabavinejad

Sherief Reda

Masoumeh Ebrahimi

2022/1/21

Configuring Mixed-Integer Linear Programming Solvers with Deep Metric Learning

Abdelrahman Hosny

Sherief Reda

2022/9/29

Arbench: Augmented reality benchmark for mobile devices

Sofiane Chetoui

Rahul Shahi

Seif Abdelaziz

Abhinav Golas

Farrukh Hijaz

...

2022/5/22

Alternating Blind Identification of Power Sources for Mobile SoCs

Sofiane Chetoui

Michael Chen

Abhinav Golas

Farrukh Hijaz

Adel Belouchrani

...

2022/4/9

ISLPED 2021: The 25th Anniversary!

IEEE Design & Test

Yiran Chen

Sherief Reda

2022/2/10

Approximate logic synthesis using Boolean matrix factorization

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Jingxiao Ma

Soheil Hashemi

Sherief Reda

2021/1/26

See List of Professors in Sherief Reda University(Brown University)

Co-Authors

H-index: 90
Andrew B. Kahng

Andrew B. Kahng

University of California, San Diego

H-index: 69
Farinaz Koushanfar

Farinaz Koushanfar

University of California, San Diego

H-index: 50
Alexander Zelikovsky

Alexander Zelikovsky

Georgia State University

H-index: 48
Na Li

Na Li

Harvard University

H-index: 37
Ion Mandoiu

Ion Mandoiu

University of Connecticut

H-index: 36
Adel Belouchrani

Adel Belouchrani

École Nationale Polytechnique

academic-engine