Ulf Schlichtmann

Ulf Schlichtmann

Technische Universität München

H-index: 37

Europe-Germany

About Ulf Schlichtmann

Ulf Schlichtmann, With an exceptional h-index of 37 and a recent h-index of 24 (since 2020), a distinguished researcher at Technische Universität München, specializes in the field of Electronic Design Automation, Reliability/Robustness/Resilience, Embedded Systems, Microfluidic Biochips, Photonic Interconnect.

His recent articles reflect a diverse array of research interests and contributions to the field:

Multi-Resonance Mesh-Based Wavelength-Routed Optical Networks-on-Chip

EncodingNet: A Novel Encoding-based MAC Design for Efficient Neural Network Acceleration

Computational and Storage Efficient Quadratic Neurons for Deep Neural Networks

GNN-based Concentration Prediction with Variable Input Flow Rates for Microfluidic Mixers

ScanCamouflage: Obfuscating Scan Chains with Camouflaged Sequential and Logic Gates

Logic Design of Neural Networks for High-Throughput and Low-Power Applications

A FeFET-based Time-Domain Associative Memory for Multi-bit Similarity Computation

LaMUX: Optimized Logic-Gate-Enabled High-Performance Microfluidic Multiplexer Design

Ulf Schlichtmann Information

University

Position

Professor for Electronic Design Automation

Citations(all)

5573

Citations(since 2020)

2654

Cited By

3873

hIndex(all)

37

hIndex(since 2020)

24

i10Index(all)

146

i10Index(since 2020)

82

Email

University Profile Page

Technische Universität München

Google Scholar

View Google Scholar Profile

Ulf Schlichtmann Skills & Research Interests

Electronic Design Automation

Reliability/Robustness/Resilience

Embedded Systems

Microfluidic Biochips

Photonic Interconnect

Top articles of Ulf Schlichtmann

Title

Journal

Author(s)

Publication Date

Multi-Resonance Mesh-Based Wavelength-Routed Optical Networks-on-Chip

Zhidan Zheng

Liaoyuan Cheng

Kanta Arisawa

Qingyu Li

Alexandre Truppel

...

2024

EncodingNet: A Novel Encoding-based MAC Design for Efficient Neural Network Acceleration

arXiv preprint arXiv:2402.18595

Bo Liu

Grace Li Zhang

Xunzhao Yin

Ulf Schlichtmann

Bing Li

2024/2/25

Computational and Storage Efficient Quadratic Neurons for Deep Neural Networks

Chuangtao Chen

Li Zhang

Xunzhao Yin

Cheng Zhuo

Ulf Schlichtmann

...

2024

GNN-based Concentration Prediction with Variable Input Flow Rates for Microfluidic Mixers

IEEE Transactions on Biomedical Circuits and Systems

Weiqing Ji

Xingzhuo Guo

Shouan Pan

Fei Long

Tsung-Yi Ho

...

2024/2/23

ScanCamouflage: Obfuscating Scan Chains with Camouflaged Sequential and Logic Gates

Tarik Ibrahimpasic

Li Zhang

Michaela Brunner

Georg Sigl

Bing Li

...

2024

Logic Design of Neural Networks for High-Throughput and Low-Power Applications

Kangwei Xu

Grace Li Zhang

Ulf Schlichtmann

Bing Li

2024/1/22

A FeFET-based Time-Domain Associative Memory for Multi-bit Similarity Computation

Qingrong Huang

Hamza Errahmouni Barkam

Zeyu Yang

Jianyi Yang

Thomas Kämpfe

...

2024

LaMUX: Optimized Logic-Gate-Enabled High-Performance Microfluidic Multiplexer Design

Siyuan Liang

Yushen Zhang

Rana Altay

Hudson Gasvoda

Mengchu Li

...

2024

Late Breaking Results: Efficient Built-in Self-Test for Microfluidic Large-Scale Integration (mLSI)

Mengchu Li

Hanchen Gu

Yushen Zhang

Siyuan Liang

Hudson Gasvoda

...

2024

Device with blockable/un-blockable fluid channels and built-in self-test equipment

2024/3/5

Expressivity Enhancement with Efficient Quadratic Neurons for Convolutional Neural Networks

arXiv preprint arXiv:2306.07294

Chuangtao Chen

Grace Li Zhang

Xunzhao Yin

Cheng Zhuo

Ulf Schlichtmann

...

2023/6/10

Accurate Infinite-Order Crosstalk Calculation for Optical Networks-on-Chip

Journal of Lightwave Technology

Alexandre Truppel

Tsun-Ming Tseng

Ulf Schlichtmann

2023/1/1

Biologically plausible learning on neuromorphic hardware architectures

Christopher Wolters

Brady Taylor

Edward Hanson

Xiaoxuan Yang

Ulf Schlichtmann

...

2023/8/6

Eine interaktive Design-Plattform für 3D-gedruckte mehrlagige Mikrofluidikchips mit Design-for-Manufacturing-Funktion

Yushen Zhang

Tsun-Ming Tseng

Ulf Schlichtmann

2023/10

Area-Efficient Neural Network CD Equalizer for 4× 200Gb/s PAM4 CWDM4 Systems

Bo Liu

Christian Bluemm

Stefano Calabrò

Bing Li

Ulf Schlichtmann

2023/3/5

Ein kostengünstiges, tragbares Open-Source-Sensorboard mit drahtloser Kommunikation und Fluoreszenzdetektion zur farbmetrischen Detektion für mikrofluidische Anwendungen

Yushen Zhang

Tsun-Ming Tseng

Ulf Schlichtmann

2023

NeuroEscape: Ordered Escape Routing via Monte-Carlo Tree Search and Neural Network

Zhiyang Chen

Tsung-Yi Ho

Ulf Schlichtmann

Datao Chen

Mingyu Liu

...

2023/10/28

Correctnet: Robustness enhancement of analog in-memory computing for neural networks by error suppression and compensation

Amro Eldebiky

Grace Li Zhang

Georg Böcherer

Bing Li

Ulf Schlichtmann

2023/4/17

Monitoring-based Thermal Management for Mixed-Criticality Systems

Marcel Mettler

Martin Rapp

Heba Khdr

Daniel Mueller-Gritschneder

Jörg Renkel

...

2023/4/17

SOAER: Self-Obstacle Avoiding Escape Routing for Paper-Based Digital Microfluidic Biochips

Weiqing Ji

Xingcheng Yao

Hailong Yao

Tsung-Yi Ho

Ulf Schlichtmann

...

2023/6/5

See List of Professors in Ulf Schlichtmann University(Technische Universität München)