Ting-Chi Wang

Ting-Chi Wang

National Tsing Hua University

H-index: 23

Asia-Taiwan

About Ting-Chi Wang

Ting-Chi Wang, With an exceptional h-index of 23 and a recent h-index of 11 (since 2020), a distinguished researcher at National Tsing Hua University, specializes in the field of Electronic Design Automation.

His recent articles reflect a diverse array of research interests and contributions to the field:

SMT-Based Layout Synthesis Approaches for Quantum Circuits

Pioneering Contributions of Professor Martin DF Wong to Automatic Floorplan Design

An Effective Netlist Planning Approach for Double-sided Signal Routing

A Fast and Robust Global Router with Capacity Reduction Techniques

Method, non-transitory computer-readable medium, and apparatus for arranging electrical components within a semiconductor device

Fast and Accurate Detection of Audio Adversarial Examples

Machine Learning based Routing Guide Generation and its Application to Design Rule Violation Reduction

A Robust Routing Guide Generation Approach for Mixed-Size Designs

Ting-Chi Wang Information

University

Position

Professor of Computer Science Taiwan

Citations(all)

1954

Citations(since 2020)

389

Cited By

1717

hIndex(all)

23

hIndex(since 2020)

11

i10Index(all)

42

i10Index(since 2020)

13

Email

University Profile Page

National Tsing Hua University

Google Scholar

View Google Scholar Profile

Ting-Chi Wang Skills & Research Interests

Electronic Design Automation

Top articles of Ting-Chi Wang

Title

Journal

Author(s)

Publication Date

SMT-Based Layout Synthesis Approaches for Quantum Circuits

Zi-Hao Guo

Ting-Chi Wang

2024/3/12

Pioneering Contributions of Professor Martin DF Wong to Automatic Floorplan Design

Ting-Chi Wang

2024/3/12

An Effective Netlist Planning Approach for Double-sided Signal Routing

Tzu-Chuan Lin

Fang-Yu Hsu

Wai-Kei Mak

Ting-Chi Wang

2024/1/22

A Fast and Robust Global Router with Capacity Reduction Techniques

Yun-Kai Fang

Ye-Chih Lin

Ting-Chi Wang

2024/1/22

Method, non-transitory computer-readable medium, and apparatus for arranging electrical components within a semiconductor device

2023/10/26

Fast and Accurate Detection of Audio Adversarial Examples

Po-Hao Huang

Yung-Yuan Lan

Wilbert Harriman

Venesia Chiuwanara

Ting-Chi Wang

2023/5/21

Machine Learning based Routing Guide Generation and its Application to Design Rule Violation Reduction

Chen-Han Lu

Hsin-Hung Pan

Ting-Chi Wang

Po-Yuan Chen

Chin-Fang Cindy Shen

2023/4/17

A Robust Routing Guide Generation Approach for Mixed-Size Designs

Zhi-Hong Lee

Chen-Han Lu

Hsin-Hung Pan

Ting-Chi Wang

Po-Yuan Chen

...

2023/9/10

Routability-aware Placement Guidance Generation for Mixed-size Designs

Chieh-Yu Cheng

Ting-Chi Wang

2023/4/5

Method and system of generating a layout diagram

2023/8/29

A Macro Legalization Approach Considering Minimum Channel Spacing and Buffer Area Reservation Constraints

Chun-Wei Chiu

Yun-Kai Fang

Shao-Ting Chung

Ting-Chi Wang

2023/6/5

Hybrid-Row-Height Design Placement Legalization Considering Cell Variants

Syuan-Han Liang

Tsu-Ling Hsiung

Wai-Kei Mak

Ting-Chi Wang

2023/6/5

Generation of black-box audio adversarial examples based on gradient approximation and autoencoders

ACM Journal on Emerging Technologies in Computing Systems (JETC)

Po-Hao Huang

Honggang Yu

Max Panoff

Ting-Chi Wang

2022/8/2

On Predicting Solution Quality of Maze Routing Using Convolutional Neural Network

Kuei-Huan Chang

Hsin-Hung Pan

Ting-Chi Wang

Po-Yuan Chen

Chin-Fang Cindy Shen

2022/4/6

HybridGP: Global Placement for Hybrid-Row-Height Designs

Kuan-Yu Chen

Hsiu-Chu Hsu

Wai-Kei Mak

Ting-Chi Wang

2022/1/17

Generation of Mixed-Driving Multi-Bit Flip-Flops for Power Optimization

Meng-Yun Liu

Yu-Cheng Lai

Wai-Kei Mak

Ting-Chi Wang

2022/10/30

SPTA: A Scalable Parallel ILP-Based Track Assignment Algorithm with Two-Stage Partition

Yidan Jing

Liliang Yang

Zhen Zhuang

Genggeng Liu

Xing Huang

...

2022/10/3

LA-SVR: a high-performance layer assignment algorithm with slew violations reduction

Lieqiu Jiang

Zepeng Li

Chenpeng Bao

Genggeng Liu

Xing Huang

...

2022/10/3

Timing-aware layer assignment for advanced process technologies considering via pillars

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Genggeng Liu

Xinghai Zhang

Wenzhong Guo

Xing Huang

Wen-Hao Liu

...

2022

Multiple-Layer Multiple-Patterning Aware Placement Refinement for Mixed-Cell-Height Designs

Bo-Yang Chen

Chi-Chun Fang

Wai-Kei Mak

Ting-Chi Wang

2021/3/22

See List of Professors in Ting-Chi Wang University(National Tsing Hua University)