Sheldon X.-D. Tan

Sheldon X.-D. Tan

University of California, Riverside

H-index: 38

North America-United States

About Sheldon X.-D. Tan

Sheldon X.-D. Tan, With an exceptional h-index of 38 and a recent h-index of 23 (since 2020), a distinguished researcher at University of California, Riverside, specializes in the field of Simulation, modeling, thermal analysis and management, reliability analysis and optimization.

His recent articles reflect a diverse array of research interests and contributions to the field:

Fast and Scaled Counting-Based Stochastic Computing Divider Design

Linear Time Electromigration Analysis based on Physics-informed Sparse Regression

MAGIC-DHT: Fast in-memory computing for Discrete Hadamard Transform

Hot-spot aware thermoelectric array based cooling for multicore processors

PostPINN-EM: Fast Post-Voiding Electromigration Analysis Using Two-Stage Physics-Informed Neural Networks

Learning based spatial power characterization and full-chip power estimation for commercial tpus

Real-time Thermal Map Estimation for AMD Multi-Core CPUs Using Transformer

PAALM: Power Density Aware Approximate Logarithmic Multiplier Design

Sheldon X.-D. Tan Information

University

Position

___

Citations(all)

6142

Citations(since 2020)

2241

Cited By

6094

hIndex(all)

38

hIndex(since 2020)

23

i10Index(all)

174

i10Index(since 2020)

74

Email

University Profile Page

University of California, Riverside

Google Scholar

View Google Scholar Profile

Sheldon X.-D. Tan Skills & Research Interests

Simulation

modeling

thermal analysis and management

reliability analysis and optimization

Top articles of Sheldon X.-D. Tan

Title

Journal

Author(s)

Publication Date

Fast and Scaled Counting-Based Stochastic Computing Divider Design

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Yibo Liu

Shuyuan Yu

Maliha Tasnim

Sheldon X-D Tan

2024/2/14

Linear Time Electromigration Analysis based on Physics-informed Sparse Regression

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Liang Chen

Wentian Jin

Mohammadamir Kavousi

Subed Lamichhane

Sheldon X-D Tan

2023/4/21

MAGIC-DHT: Fast in-memory computing for Discrete Hadamard Transform

Integration

Maliha Tasnim

Chinmay Raje

Shuyuan Yu

Elaheh Sadredini

Sheldon X-D Tan

2023/11/1

Hot-spot aware thermoelectric array based cooling for multicore processors

Integration

Jinwei Zhang

Sheriff Sadiqbatcha

Liang Chen

Cuong Thi

Sachin Sachdeva

...

2023/3/1

PostPINN-EM: Fast Post-Voiding Electromigration Analysis Using Two-Stage Physics-Informed Neural Networks

Subed Lamichhane

Wentian Jin

Liang Chen

Mohammadamir Kavousi

Sheldon X-D Tan

2023/10/28

Learning based spatial power characterization and full-chip power estimation for commercial tpus

Jincong Lu

Jinwei Zhang

Wentian Jin

Sachin Sachdeva

Sheldon X-D Tan

2023/1/16

Real-time Thermal Map Estimation for AMD Multi-Core CPUs Using Transformer

Jincong Lu

Jinwei Zhang

Sheldon X-D Tan

2023/10/28

PAALM: Power Density Aware Approximate Logarithmic Multiplier Design

Shuyuan Yu

Sheldon X-D Tan

2023/1/16

Long-Term Aging Impacts on Spatial On-Chip Power Density and Temperature

Sachin Sachdeva

Jinwei Zhang

Hussam Amrouch

Sheldon X-D Tan

2023/7/3

Thermoelectric Cooler Modeling and Optimization Via Surrogate Modeling Using Implicit Physics-Constrained Neural Networks

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Liang Chen

Wentian Jin

Jinwei Zhang

Sheldon X-D Tan

2023/4/21

Hot-trim: thermal and reliability management for commercial multi-core processors considering workload dependent hot spots

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Jinwei Zhang

Sheriff Sadiqbatcha

Sheldon X-D Tan

2022/10/21

Fast electromigration stress analysis considering spatial joule heating effects

Mohammadamir Kavousi

Liang Chen

Sheldon X-D Tan

2022/1/17

GridNetOpt: Fast Full-Chip EM-Aware Power Grid Optimization Accelerated by Deep Neural Networks

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Han Zhou

Yibo Liu

Wentian Jin

Sheldon X-D Tan

2022/9/13

Fast thermal analysis for chiplet design based on graph convolution networks

Liang Chen

Wentian Jin

Sheldon X-D Tan

2022/1/17

Scaled-cbsc: scaled counting-based stochastic computing multiplication for improved accuracy

Shuyuan Yu

Sheldon X-D Tan

2022/7/10

HEALM: Hardware-efficient approximate logarithmic multiplier with reduced error

Shuyuan Yu

Maliha Tasnim

Sheldon X-D Tan

2022/1/17

EDAML 2022 Invited Speaker 9: Thermal and Power Monitoring and Estimation for Commercial Multicore Processors—A Machine Learning Perspective

Sheldon Tan

2022/5/30

HierPINN-EM: Fast Learning-Based Electromigration Analysis for Multi-Segment Interconnects Using Hierarchical Physics-informed Neural Network

Wentian Jin

Liang Chen

Subed Lamichhane

Mohammadamir Kavousi

Sheldon X-D Tan

2022/10/30

GPUCalorie: Floorplan Estimation for GPU Thermal Evaluation

Marcus Chow

Ali Jahanshahi

Ana Cardenas Beltran

Sheldon Tan

Daniel Wong

2022/5/22

EM lifetime constrained optimization for multi-segment power grid networks

Dependable Embedded Systems

Han Zhou

Zeyu Sun

Sheriff Sadiqbatcha

Sheldon X-D Tan

2021

See List of Professors in Sheldon X.-D. Tan University(University of California, Riverside)