Salvador Petit

About Salvador Petit

Salvador Petit, With an exceptional h-index of 18 and a recent h-index of 11 (since 2020), a distinguished researcher at Universidad Politécnica de València, specializes in the field of Computer architecture, resource management, scheduling, memory hierarchy, GPU.

His recent articles reflect a diverse array of research interests and contributions to the field:

Characterizing Power and Performance Interference Scalability in the 28-core ARM ThunderX2

A Modular Approach to Design an Experimental Framework for Resource Management Research

Main memory controller with multiple media technologies for big data workloads

A modular approach to build a hardware testbed for cloud resource management research

Stratus: A hardware/software infrastructure for controlled cloud research

Thread-to-Core Allocation in ARM Processors Building Synergistic Pairs

Cloud white: Detecting and estimating qos degradation of latency-critical workloads in the public cloud

SYNPA: SMT Performance Analysis and Allocation of Threads to Cores in ARM Processors

Salvador Petit Information

University

Position

___

Citations(all)

1280

Citations(since 2020)

422

Cited By

1085

hIndex(all)

18

hIndex(since 2020)

11

i10Index(all)

28

i10Index(since 2020)

14

Email

University Profile Page

Universidad Politécnica de València

Google Scholar

View Google Scholar Profile

Salvador Petit Skills & Research Interests

Computer architecture

resource management

scheduling

memory hierarchy

GPU

Top articles of Salvador Petit

Title

Journal

Author(s)

Publication Date

Characterizing Power and Performance Interference Scalability in the 28-core ARM ThunderX2

Ibai Calero

Salvador Petit

María E Gómez

Julio Sahuquillo

2024/3/20

A Modular Approach to Design an Experimental Framework for Resource Management Research

Lucia Pons

Salvador Petit

Julio Pons

María E Gómez

Julio Sahuquillo

2023/10/13

Main memory controller with multiple media technologies for big data workloads

Journal of Big Data

Miguel A Avargues

Manel Lurbe

Salvador Petit

Maria E Gomez

Rui Yang

...

2023/5/22

A modular approach to build a hardware testbed for cloud resource management research

The Journal of Supercomputing

Lucia Pons

Salvador Petit

Julio Pons

María E Gómez

Julio Sahuquillo

2023/12/27

Stratus: A hardware/software infrastructure for controlled cloud research

Lucia Pons

Salvador Petit

Julio Pons

María E Gómez

Chaoyi Huang

...

2023/3/1

Thread-to-Core Allocation in ARM Processors Building Synergistic Pairs

Marta Navarro

Josué Feliu

Salvador Petit

María E Gómez

Julio Sahuquillo

2023/10/21

Cloud white: Detecting and estimating qos degradation of latency-critical workloads in the public cloud

Future Generation Computer Systems

Lucia Pons

Josué Feliu

Julio Sahuquillo

María E Gómez

Salvador Petit

...

2023/1/1

SYNPA: SMT Performance Analysis and Allocation of Threads to Cores in ARM Processors

arXiv preprint arXiv:2310.12786

Marta Navarro

Josué Feliu

Salvador Petit

María E Gómez

Julio Sahuquillo

2023/10/19

Fast-track cache: a huge racetrack memory L1 data cache

Hugo Tárrega

Alejandro Valero

Vicente Lorente

Salvador Petit

Julio Sahuquillo

2022/6/28

Effect of hyper-threading in latency-critical multithreaded cloud applications and utilization analysis of the major system resources

Future Generation Computer Systems

Lucía Pons

Josué Feliu

José Puche

Chaoyi Huang

Salvador Petit

...

2022/6/1

A Neural Network to Estimate Isolated Performance from Multi-Program Execution

Manel Lurbe

Josué Feliu

Salvador Petit

Maria E Gómez

Julio Sahuquillo

2022/3/9

DeepP: deep learning multi-program prefetch configuration for the IBM POWER 8

IEEE Transactions on Computers

Manel Lurbe

Josué Feliu

Salvador Petit

Maria E Gómez

Julio Sahuquillo

2022/1/4

Cache-poll: Containing pollution in non-inclusive caches through cache partitioning

Lucia Pons

Julio Sahuquillo

Salvador Petit

Julio Pons

2022/8/29

Segment Switching: A New Switching Strategy for Optical HPC Networks

IEEE Access

José Duro

Salvador Petit

María E Gómez

Julio Sahuquillo

2021/2/9

VMT: Virtualized Multi-Threading for Accelerating Graph Workloads on Commodity Processors

IEEE Transactions on Computers

Josué Feliu

Ajeya Naithani

Julio Sahuquillo

Salvador Petit

Moinuddin Qureshi

...

2021/6/2

Phase-aware cache partitioning to target both turnaround time and system performance

IEEE Transactions on Parallel and Distributed Systems

Lucia Pons

Julio Sahuquillo

Vicent Selfa

Salvador Petit

Julio Pons

2020/5/20

Understanding Cloud Workloads Performance in a Production like Environment

arXiv preprint arXiv:2010.05031

Lucia Pons

Josué Feliu

José Puche

Chaoyi Huang

Salvador Petit

...

2020/10/10

Bandwidth-aware dynamic prefetch configuration for IBM POWER8

IEEE Transactions on Parallel and Distributed Systems

Carlos Navarro

Josué Feliu

Salvador Petit

Maria E Gomez

Julio Sahuquillo

2020/3/23

An efficient cache flat storage organization for multithreaded workloads for low power processors

Future Generation Computer Systems

José Puche

Salvador Petit

Maria E Gomez

Julio Sahuquillo

2020/9/1

Impact of the array shape and memory bandwidth on the execution time of cnn systolic arrays

Eduardo Yago

Pau Castelló

Salvador Petit

María E Gómez

Julio Sahuquillo

2020/8/26

See List of Professors in Salvador Petit University(Universidad Politécnica de València)

Co-Authors

H-index: 61
Jose Duato

Jose Duato

Universidad Politécnica de València

H-index: 57
Lieven Eeckhout

Lieven Eeckhout

Universiteit Gent

H-index: 53
Juan-Carlos Cano Escribá (ORCID:0000-0002-0038-0539)

Juan-Carlos Cano Escribá (ORCID:0000-0002-0038-0539)

Universidad Politécnica de València

H-index: 50
David R. Kaeli

David R. Kaeli

Northeastern University

H-index: 40
Veljko Milutinovic

Veljko Milutinovic

Univerzitet u Beogradu

academic-engine