Ozgur Sinanoglu

Ozgur Sinanoglu

New York University

H-index: 45

North America-United States

About Ozgur Sinanoglu

Ozgur Sinanoglu, With an exceptional h-index of 45 and a recent h-index of 37 (since 2020), a distinguished researcher at New York University, specializes in the field of Hardware Security.

His recent articles reflect a diverse array of research interests and contributions to the field:

Coherence Attacks and Countermeasures in Interposer-based Chiplet Systems

Lightweight Masking Against Static Power Side-Channel Attacks

NiLoPher: Breaking a Modern SAT-Hardened Logic-Locking Scheme via Power Analysis Attack

HDCircuit: Brain-inspired Hyperdimensional Computing for Circuit Recognition

Beware your standard cells! on their role in static power side-channel attacks

Always be Pre-Training: Representation Learning for Network Intrusion Detection with GNNs

X-Volt: Joint Tuning of Driver Strengths and Supply Voltages Against Power Side-Channel Attacks

Shaheen: An Open, Secure, and Scalable RV64 SoC for Autonomous Nano-UAVs

Ozgur Sinanoglu Information

University

Position

Professor of Electrical and Computer Engineering, New York University Abu Dhabi

Citations(all)

8819

Citations(since 2020)

5965

Cited By

5376

hIndex(all)

45

hIndex(since 2020)

37

i10Index(all)

142

i10Index(since 2020)

103

Email

University Profile Page

New York University

Google Scholar

View Google Scholar Profile

Ozgur Sinanoglu Skills & Research Interests

Hardware Security

Top articles of Ozgur Sinanoglu

Title

Journal

Author(s)

Publication Date

Coherence Attacks and Countermeasures in Interposer-based Chiplet Systems

ACM Transactions on Architecture and Code Optimization

Gino A Chacon

Charles Williams

Johann Knechtel

Ozgur Sinanoglu

Paul V Gratz

...

2024/2/15

Lightweight Masking Against Static Power Side-Channel Attacks

arXiv preprint arXiv:2402.03196

Jitendra Bhandari

Mohammed Nabeel

Likhitha Mankali

Ozgur Sinanoglu

Ramesh Karri

...

2024/2/5

NiLoPher: Breaking a Modern SAT-Hardened Logic-Locking Scheme via Power Analysis Attack

Cryptology ePrint Archive

Prithwish Basu Roy

Johann Knechtel

Akashdeep Saha

Saideep Sreekumar

Likhitha Mankali

...

2024

HDCircuit: Brain-inspired Hyperdimensional Computing for Circuit Recognition

Paul Genssler

Lilas Alrahis

Ozgur Sinanoglu

Hussam Amrouch

2024

Beware your standard cells! on their role in static power side-channel attacks

Cryptology ePrint Archive

Jitendra Bhandari

Likhitha Mankali

Mohammed Nabeel

Ozgur Sinanoglu

Ramesh Karri

...

2023

Always be Pre-Training: Representation Learning for Network Intrusion Detection with GNNs

arXiv preprint arXiv:2402.18986

Zhengyao Gu

Diego Troy Lopez

Lilas Alrahis

Ozgur Sinanoglu

2024/2/29

X-Volt: Joint Tuning of Driver Strengths and Supply Voltages Against Power Side-Channel Attacks

Saideep Sreekumar

Mohammed Ashraf

Mohammed Nabeel

Ozgur Sinanoglu

Johann Knechtel

2023/3/26

Shaheen: An Open, Secure, and Scalable RV64 SoC for Autonomous Nano-UAVs

Luca Valente

Asif Veeran

Mattia Sinigaglia

Yvan Tortorella

Alessandro Nadalini

...

2023

System, method, computer-accessible medium, and circuit for crippling the oracle in logic locking

2023/6/8

UN-SPLIT: Attacking Split Manufacturing Using Link Prediction in Graph Neural Networks

Lilas Alrahis

Likhitha Mankali

Satwik Patnaik

Abhrajit Sengupta

Johann Knechtel

...

2023/12/14

Security closure of IC layouts against hardware Trojans

Fangzhou Wang

Qijing Wang

Bangqi Fu

Shui Jiang

Xiaopeng Zhang

...

2023/3/26

TrojanSAINT: Gate-level netlist sampling-based inductive learning for hardware Trojan detection

Hazem Lashen

Lilas Alrahis

Johann Knechtel

Ozgur Sinanoglu

2023/5/21

FPGA-Patch: Mitigating remote side-channel attacks on FPGAs using dynamic patch generation

Mahya Morid Ahmadi

Lilas Alrahis

Ozgur Sinanoglu

Muhammad Shafique

2023/8/7

VIGILANT: Vulnerability Detection Tool Against Fault-Injection Attacks for Locking Techniques

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Likhitha Mankali

Satwik Patnaik

Nimisha Limaye

Johann Knechtel

Ozgur Sinanoglu

2023/3/20

Almost: Adversarial learning to mitigate oracle-less ml attacks via synthesis tuning

Animesh B Chowdhury

Lilas Alrahis

Luca Collini

Johann Knechtel

Ramesh Karri

...

2023/7/9

PoisonedGNN: Backdoor Attack on Graph Neural Networks-based Hardware Security Systems

IEEE Transactions on Computers

Lilas Alrahis

Satwik Patnaik

Muhammad Abdullah Hanif

Muhammad Shafique

Ozgur Sinanoglu

2023/5/2

Dnn-alias: Deep neural network protection against side-channel attacks via layer balancing

arXiv preprint arXiv:2303.06746

Mahya Morid Ahmadi

Lilas Alrahis

Ozgur Sinanoglu

Muhammad Shafique

2023/3/12

Graph Neural Networks for Hardware Vulnerability Analysis—Can you Trust your GNN?

Lilas Alrahis

Ozgur Sinanoglu

2023/4/24

ShapeShifter: Protecting FPGAs from side-channel attacks with isofunctional heterogeneous modules

Mahya Morid Ahmadi

Lilas Alrahis

Ozgur Sinanoglu

Muhammad Shafique

2023/7/3

Graph neural networks: A powerful and versatile tool for advancing design, reliability, and security of ICs

Lilas Alrahis

Johann Knechtel

Ozgur Sinanoglu

2023/1/16

See List of Professors in Ozgur Sinanoglu University(New York University)