Nam Sung Kim

Nam Sung Kim

University of Illinois at Urbana-Champaign

H-index: 56

North America-United States

About Nam Sung Kim

Nam Sung Kim, With an exceptional h-index of 56 and a recent h-index of 37 (since 2020), a distinguished researcher at University of Illinois at Urbana-Champaign, specializes in the field of Computer Architecture, Digital Design, Electronic Design Automation, Low-power design.

His recent articles reflect a diverse array of research interests and contributions to the field:

ScaleCache: A Scalable Page Cache for Multiple Solid-State Drives

Harmonic: Hardware-assisted {RDMA} Performance Isolation for Public Clouds

TAROT: A CXL SmartNIC-Based Defense Against Multi-bit Errors by Row-Hammer Attacks

Systems and methods for hardware-based asynchronous persistence

Tandem processor: Grappling with emerging operators in neural networks

An LPDDR-based CXL-PNM Platform for TCO-efficient Inference of Transformer-based Large Language Models

AttAcc! Unleashing the Power of PIM for Batched Transformer-based Generative Model Inference

SPADE: Sparse Pillar-based 3D Object Detection Accelerator for Autonomous Driving

Nam Sung Kim Information

University

Position

Professor and Fellow of ACM and IEEE Electrical and Computer Engineering

Citations(all)

15720

Citations(since 2020)

5796

Cited By

12097

hIndex(all)

56

hIndex(since 2020)

37

i10Index(all)

150

i10Index(since 2020)

102

Email

University Profile Page

University of Illinois at Urbana-Champaign

Google Scholar

View Google Scholar Profile

Nam Sung Kim Skills & Research Interests

Computer Architecture

Digital Design

Electronic Design Automation

Low-power design

Top articles of Nam Sung Kim

Title

Journal

Author(s)

Publication Date

ScaleCache: A Scalable Page Cache for Multiple Solid-State Drives

Kiet Tuan Pham

Seokjoo Cho

Sangjin Lee

Lan Anh Nguyen

Hyeongi Yeo

...

2024/4/22

Harmonic: Hardware-assisted {RDMA} Performance Isolation for Public Clouds

Jiaqi Lou

Xinhao Kong

Jinghan Huang

Wei Bai

Nam Sung Kim

...

2024

TAROT: A CXL SmartNIC-Based Defense Against Multi-bit Errors by Row-Hammer Attacks

Chihun Song

Michael Jaemin Kim

Tianchen Wang

Houxiang Ji

Jinghan Huang

...

2024/4/27

Systems and methods for hardware-based asynchronous persistence

2024/3/28

Tandem processor: Grappling with emerging operators in neural networks

Soroush Ghodrati

Sean Kinzer

Hanyang Xu

Rohan Mahapatra

Yoonsung Kim

...

2024/4/27

An LPDDR-based CXL-PNM Platform for TCO-efficient Inference of Transformer-based Large Language Models

Sang-Soo Park

KyungSoo Kim

Jinin So

Jin Jung

Jonggeon Lee

...

2024/3/2

AttAcc! Unleashing the Power of PIM for Batched Transformer-based Generative Model Inference

Jaehyun Park

Jaewan Choi

Kwanhee Kyung

Michael Jaemin Kim

Yongsuk Kwon

...

2024/4/27

SPADE: Sparse Pillar-based 3D Object Detection Accelerator for Autonomous Driving

Minjae Lee

Seongmin Park

Hyungmin Kim

Minyong Yoon

Janghwan Lee

...

2024/3/2

A Quantitative Analysis and Guidelines of Data Streaming Accelerator in Modern Intel Xeon Scalable Processors

Reese Kuper

Ipoom Jeong

Yifan Yuan

Ren Wang

Narayan Ranganathan

...

2024/4/27

Computer Architecture Having Selectable Parallel and Serial Communication Channels Between Processors and Memory

2024/1/4

Application-transparent near-memory processing architecture with memory channel network

Mohammad Alian

Seung Won Min

Hadi Asgharimoghaddam

Ashutosh Dhar

Dong Kai Wang

...

2018/10/20

{STYX}: Exploiting {SmartNIC} Capability to Reduce Datacenter Memory Tax

Houxiang Ji

Mark Mansi

Yan Sun

Yifan Yuan

Jinghan Huang

...

2023

NoHammer: Preventing Row Hammer with Last-Level Cache Management

IEEE Computer Architecture Letters

Seunghak Lee

Ki-Dong Kang

Gyeongseo Park

Nam Sung Kim

Daehoon Kim

2023/9/29

Towards a Manageable Intra-Host Network

Xinhao Kong

Jiaqi Lou

Wei Bai

Nam Sung Kim

Danyang Zhuo

2023/6/22

Special Issue on Emerging System Interconnects

IEEE Micro

John Kim

Nam Sung Kim

2023/3/1

How to Kill the Second Bird with One ECC: The Pursuit of Row Hammer Resilient DRAM

Michael Jaemin Kim

Minbok Wi

Jaehyun Park

Seoyoung Ko

Jaeyoung Choi

...

2023/10/28

Triple-A: Early Operand Collector Allocation for Maximizing GPU Register Bank Utilization

IEEE Embedded Systems Letters

Ipoom Jeong

Eunbi Jeong

Nam Sung Kim

Myung Kuk Yoon

2023/8/22

MESA: Microarchitecture Extensions for Spatial Architecture Generation

Dong Kai Wang

Jiaqi Lou

Naiyin Jin

Edwin Mascarenhas

Rohan Mahapatra

...

2023/6/17

Shadow: Preventing row hammer in dram with intra-subarray row shuffling

Minbok Wi

Jaehyun Park

Seoyoung Ko

Michael Jaemin Kim

Nam Sung Kim

...

2023/2/25

Demystifying cxl memory with genuine cxl-ready systems and devices

Yan Sun

Yifan Yuan

Zeduo Yu

Reese Kuper

Chihun Song

...

2023/10/28

See List of Professors in Nam Sung Kim University(University of Illinois at Urbana-Champaign)

Co-Authors

H-index: 103
David Blaauw

David Blaauw

University of Michigan-Dearborn

H-index: 78
Trevor Mudge

Trevor Mudge

University of Michigan-Dearborn

H-index: 78
Mahmut Kandemir

Mahmut Kandemir

Penn State University

H-index: 69
Josep Torrellas

Josep Torrellas

University of Illinois at Urbana-Champaign

academic-engine