Mehdi B. Tahoori

Mehdi B. Tahoori

Karlsruher Institut für Technologie

H-index: 53

Europe-Germany

About Mehdi B. Tahoori

Mehdi B. Tahoori, With an exceptional h-index of 53 and a recent h-index of 32 (since 2020), a distinguished researcher at Karlsruher Institut für Technologie, specializes in the field of reliable computing, printed electronics, electronic design automation, design for test, emerging technologies.

His recent articles reflect a diverse array of research interests and contributions to the field:

Hard Error Correction in STT-MRAM

Testing for Multiple Faults in Deep Neural Networks

Soft and Hard Error Correction Techniques in STT-MRAM

NeuSpin: Design of a Reliable Edge Neuromorphic System Based on Spintronics for Green AI

Embedding Hardware Approximations in Discrete Genetic-based Training for Printed MLPs

One-shot online testing of deep neural networks based on distribution shift detection

Testing Spintronics Implemented Monte Carlo Dropout-Based Bayesian Neural Networks

Enhancing Reliability of Neural Networks at the Edge: Inverted Normalization with Stochastic Affine Transformations

Mehdi B. Tahoori Information

University

Position

Professor of Computer Science Germany

Citations(all)

10091

Citations(since 2020)

4973

Cited By

7277

hIndex(all)

53

hIndex(since 2020)

32

i10Index(all)

235

i10Index(since 2020)

151

Email

University Profile Page

Karlsruher Institut für Technologie

Google Scholar

View Google Scholar Profile

Mehdi B. Tahoori Skills & Research Interests

reliable computing

printed electronics

electronic design automation

design for test

emerging technologies

Top articles of Mehdi B. Tahoori

Title

Journal

Author(s)

Publication Date

Hard Error Correction in STT-MRAM

Surendra Hemaram

Mehdi B Tahoori

Francky Catthoor

Siddharth Rao

Sebastien Couet

...

2024/1/22

Testing for Multiple Faults in Deep Neural Networks

IEEE Design & Test

Dina A Moussa

Michael Hefenbrock

Mehdi Tahoori

2024/2/13

Soft and Hard Error Correction Techniques in STT-MRAM

IEEE Design & Test

Surendra Hemaram

Mehdi B Tahoori

Francky Catthoor

Siddharth Rao

Sebastien Couet

...

2024/5/1

NeuSpin: Design of a Reliable Edge Neuromorphic System Based on Spintronics for Green AI

arXiv preprint arXiv:2401.06195

Soyed Tuhin Ahmed

Kamal Danouchi

Guillaume Prenat

Lorena Anghel

Mehdi B Tahoori

2024/1/11

Embedding Hardware Approximations in Discrete Genetic-based Training for Printed MLPs

arXiv preprint arXiv:2402.02930

Florentia Afentaki

Michael Hefenbrock

Georgios Zervakis

Mehdi B Tahoori

2024/2/5

One-shot online testing of deep neural networks based on distribution shift detection

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Soyed Tuhin Ahmed

Mehdi B Tahoori

2024/4/8

Testing Spintronics Implemented Monte Carlo Dropout-Based Bayesian Neural Networks

arXiv preprint arXiv:2401.04744

Soyed Tuhin Ahmed

Michael Hefenbrock

Guillaume Prenat

Lorena Anghel

Mehdi B Tahoori

2024/1/9

Enhancing Reliability of Neural Networks at the Edge: Inverted Normalization with Stochastic Affine Transformations

arXiv preprint arXiv:2401.12416

Soyed Tuhin Ahmed

Kamal Danouchi

Guillaume Prenat

Lorena Anghel

Mehdi B Tahoori

2024/1/23

Covert-Hammer: Coordinating Power-Hammering on Multi-tenant FPGAs via Covert Channels

Hassan Nassar

Philipp Machauer

Dennis RE Gnad

Lars Bauer

Mehdi B Tahoori

...

2024/4/1

Fault Sensitivity Analysis of Printed Bespoke Multilayer Perceptron Classifiers

29th IEEE European Test Symposium (ETS 2024)

Priyanjana Pal

Florentia Afentaki

Haibin Zhao

Gurol Saglam

Michael Hefenbrock

...

2024

Power Side-Channel Analysis and Mitigation for Neural Network Accelerators based on Memristive Crossbars

Brojogopal Sapui

Mehdi B Tahoori

2024/1/22

MaliGNNoma: GNN-Based Malicious Circuit Classifier for Secure Cloud FPGAs

arXiv preprint arXiv:2403.01860

Lilas Alrahis

Hassan Nassar

Jonas Krautter

Dennis Gnad

Lars Bauer

...

2024/3/4

Emerging Technologies in Computing Systems

I Okafor

AK Ramanathan

NR Challapalle

Z Li

V Narayanan

...

2024

A Dynamic Testing Scheme for Resistive-Based Computation-In-Memory Architectures

Sina Bakhtavari Mamaghani

Priyanjana Pal

Mehdi Baradaran Tahoori

2024/1/22

Improved Arithmetic Performance by Combining Stateful and Non‐Stateful Logic in Resistive Random Access Memory 1T–1R Crossbars

Advanced Intelligent Systems

Leon Brackmann

Tobias Ziegler

Atousa Jafari

Dirk J Wouters

Mehdi B Tahoori

...

2024/3

VTS 2023 Foreword

Proceedings of the IEEE VLSI Test Symposium

Mehdi Tahoori

Peilin Song

Sule Ozev

Jennifer Dworak

2023

Active and passive physical attacks on neural network accelerators

IEEE Design & Test

Vincent Meyers

Dennis Gnad

Mehdi Tahoori

2023/3/6

Highly-bespoke robust printed neuromorphic circuits

Haibin Zhao

Brojogopal Sapui

Michael Hefenbrock

Zhidong Yang

Michael Beigl

...

2023/4/17

SLM ISA and Hardware Extensions for RISC-V Processors

S Maryam Ghasemi

Sergej Meschkov

Jonas Krautter

Dennis RE Gnad

Mehdi B Tahoori

2023/7/3

Technology/memory co-design and co-optimization using E-Tree interconnect

Zhenlin Pei

Mahta Mayahinia

Hsiao-Hsuan Liu

Mehdi Tahoori

Francky Catthoor

...

2023/6/5

See List of Professors in Mehdi B. Tahoori University(Karlsruher Institut für Technologie)

Co-Authors

H-index: 91
Krishnendu Chakrabarty

Krishnendu Chakrabarty

Duke University

H-index: 67
Fabrizio Lombardi

Fabrizio Lombardi

Northeastern University

H-index: 64
Jörg Henkel

Jörg Henkel

Karlsruher Institut für Technologie

H-index: 47
Michael Beigl

Michael Beigl

Karlsruher Institut für Technologie

H-index: 28
Hossein Asadi

Hossein Asadi

Sharif University of Technology

academic-engine