Julio Sahuquillo

About Julio Sahuquillo

Julio Sahuquillo, With an exceptional h-index of 24 and a recent h-index of 12 (since 2020), a distinguished researcher at Universidad Politécnica de València, specializes in the field of Computer architecture - memory systems - system-aware scheduling.

His recent articles reflect a diverse array of research interests and contributions to the field:

Characterizing Power and Performance Interference Scalability in the 28-core ARM ThunderX2

A Modular Approach to Design an Experimental Framework for Resource Management Research

Main memory controller with multiple media technologies for big data workloads

Stratus: A hardware/software infrastructure for controlled cloud research

A modular approach to build a hardware testbed for cloud resource management research

Cloud white: Detecting and estimating qos degradation of latency-critical workloads in the public cloud

Thread-to-Core Allocation in ARM Processors Building Synergistic Pairs

SYNPA: SMT Performance Analysis and Allocation of Threads to Cores in ARM Processors

Julio Sahuquillo Information

University

Position

Professor Spain

Citations(all)

2371

Citations(since 2020)

566

Cited By

2130

hIndex(all)

24

hIndex(since 2020)

12

i10Index(all)

61

i10Index(since 2020)

15

Email

University Profile Page

Universidad Politécnica de València

Google Scholar

View Google Scholar Profile

Julio Sahuquillo Skills & Research Interests

Computer architecture - memory systems - system-aware scheduling

Top articles of Julio Sahuquillo

Title

Journal

Author(s)

Publication Date

Characterizing Power and Performance Interference Scalability in the 28-core ARM ThunderX2

Ibai Calero

Salvador Petit

María E Gómez

Julio Sahuquillo

2024/3/20

A Modular Approach to Design an Experimental Framework for Resource Management Research

Lucia Pons

Salvador Petit

Julio Pons

María E Gómez

Julio Sahuquillo

2023/10/13

Main memory controller with multiple media technologies for big data workloads

Journal of Big Data

Miguel A Avargues

Manel Lurbe

Salvador Petit

Maria E Gomez

Rui Yang

...

2023/5/22

Stratus: A hardware/software infrastructure for controlled cloud research

Lucia Pons

Salvador Petit

Julio Pons

María E Gómez

Chaoyi Huang

...

2023/3/1

A modular approach to build a hardware testbed for cloud resource management research

The Journal of Supercomputing

Lucia Pons

Salvador Petit

Julio Pons

María E Gómez

Julio Sahuquillo

2023/12/27

Cloud white: Detecting and estimating qos degradation of latency-critical workloads in the public cloud

Future Generation Computer Systems

Lucia Pons

Josué Feliu

Julio Sahuquillo

María E Gómez

Salvador Petit

...

2023/1/1

Thread-to-Core Allocation in ARM Processors Building Synergistic Pairs

Marta Navarro

Josué Feliu

Salvador Petit

María E Gómez

Julio Sahuquillo

2023/10/21

SYNPA: SMT Performance Analysis and Allocation of Threads to Cores in ARM Processors

arXiv preprint arXiv:2310.12786

Marta Navarro

Josué Feliu

Salvador Petit

María E Gómez

Julio Sahuquillo

2023/10/19

Cache-poll: Containing pollution in non-inclusive caches through cache partitioning

Lucia Pons

Julio Sahuquillo

Salvador Petit

Julio Pons

2022/8/29

Fast-track cache: a huge racetrack memory L1 data cache

Hugo Tárrega

Alejandro Valero

Vicente Lorente

Salvador Petit

Julio Sahuquillo

2022/6/28

Effect of hyper-threading in latency-critical multithreaded cloud applications and utilization analysis of the major system resources

Future Generation Computer Systems

Lucía Pons

Josué Feliu

José Puche

Chaoyi Huang

Salvador Petit

...

2022/6/1

A Neural Network to Estimate Isolated Performance from Multi-Program Execution

Manel Lurbe

Josué Feliu

Salvador Petit

Maria E Gómez

Julio Sahuquillo

2022/3/9

DeepP: deep learning multi-program prefetch configuration for the IBM POWER 8

IEEE Transactions on Computers

Manel Lurbe

Josué Feliu

Salvador Petit

Maria E Gómez

Julio Sahuquillo

2022/1/4

RED-SEA: Network Solution for Exascale Architectures

Andrea Biagioni

Paolo Cretaro

Ottorino Frezza

Francesca Lo Cicero

Alessandro Lonardo

...

2022/8/31

VMT: Virtualized Multi-Threading for Accelerating Graph Workloads on Commodity Processors

IEEE Transactions on Computers

Josué Feliu

Ajeya Naithani

Julio Sahuquillo

Salvador Petit

Moinuddin Qureshi

...

2021/6/2

Segment Switching: A New Switching Strategy for Optical HPC Networks

IEEE Access

José Duro

Salvador Petit

María E Gómez

Julio Sahuquillo

2021/2/9

Hy-Sched: A Simple Hyperthreading-Aware Thread to Core Allocation Strategy

IEEE Computer Architecture Letters

Marta Navarro

Lucia Pons

Julio Sahuquillo

2021/1/15

Impact of the array shape and memory bandwidth on the execution time of cnn systolic arrays

Eduardo Yago

Pau Castelló

Salvador Petit

María E Gómez

Julio Sahuquillo

2020/8/26

Planificación Simbiótica de Procesos en el IBM POWER8

Actas Jornadas SARTECO 2016

Josué Feliu

Stijn Eyerman

Julio Sahuquillo

Salvador Petit

2020/7/29

Phase-aware cache partitioning to target both turnaround time and system performance

IEEE Transactions on Parallel and Distributed Systems

Lucia Pons

Julio Sahuquillo

Vicent Selfa

Salvador Petit

Julio Pons

2020/5/20

See List of Professors in Julio Sahuquillo University(Universidad Politécnica de València)

Co-Authors

H-index: 61
Jose Duato

Jose Duato

Universidad Politécnica de València

H-index: 60
Antonio Gonzalez

Antonio Gonzalez

Universidad Politécnica de Cataluña

H-index: 40
Veljko Milutinovic

Veljko Milutinovic

Univerzitet u Beogradu

H-index: 25
Timothy M. Jones

Timothy M. Jones

University of Cambridge

H-index: 24
Maria E. Gómez

Maria E. Gómez

Universidad Politécnica de València

H-index: 23
Alberto Ros

Alberto Ros

Universidad de Murcia

academic-engine