Jolien Dendooven

Jolien Dendooven

Universiteit Gent

H-index: 36

Europe-Belgium

About Jolien Dendooven

Jolien Dendooven, With an exceptional h-index of 36 and a recent h-index of 27 (since 2020), a distinguished researcher at Universiteit Gent,

His recent articles reflect a diverse array of research interests and contributions to the field:

Atomic layer deposition for tuning the surface chemical composition of nickel iron phosphates for oxygen evolution reaction in alkaline electrolyzers

Catalysts made from vapour

Advancing beyond Sabatier: Strategies for dynamic synthetic catalysis

Role of the Oxidizing Co-Reactant in Pt Growth by Atomic Layer Deposition Using MeCpPtMe3 and O2/O3/O2-Plasma

Controlling Pt nanoparticle sintering by sub-monolayer MgO ALD thin films

Plasma-enhanced atomic layer deposition of crystalline Ga2S3 thin films

Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process

In Vacuo XPS Study of Al2O3 ALD Deposition Processes on n-GaN

Jolien Dendooven Information

University

Position

Postdoc CoCooN Research Group

Citations(all)

4530

Citations(since 2020)

3117

Cited By

2647

hIndex(all)

36

hIndex(since 2020)

27

i10Index(all)

97

i10Index(since 2020)

88

Email

University Profile Page

Universiteit Gent

Google Scholar

View Google Scholar Profile

Top articles of Jolien Dendooven

Title

Journal

Author(s)

Publication Date

Atomic layer deposition for tuning the surface chemical composition of nickel iron phosphates for oxygen evolution reaction in alkaline electrolyzers

Nanotechnology

Ruben Blomme

Rahul Ramesh

Lowie Henderick

Matthias Minjauw

Phillippe M Vereecken

...

2024/2/28

Catalysts made from vapour

Nature Catalysis

Matthias Filez

Jolien Dendooven

Christophe Detavernier

2024/1

Advancing beyond Sabatier: Strategies for dynamic synthetic catalysis

Chem

Matthias Filez

Jolien Dendooven

Maarten BJ Roeffaers

Christophe Detavernier

2024/3/4

Role of the Oxidizing Co-Reactant in Pt Growth by Atomic Layer Deposition Using MeCpPtMe3 and O2/O3/O2-Plasma

The Journal of Physical Chemistry C

Jin Li

Sylwia Klejna

Matthias M Minjauw

Jolien Dendooven

Christophe Detavernier

2024/1/31

Controlling Pt nanoparticle sintering by sub-monolayer MgO ALD thin films

Nanoscale

Zhiwei Zhang

Matthias Filez

Eduardo Solano

Nithin Poonkottil

Jin Li

...

2024

Plasma-enhanced atomic layer deposition of crystalline Ga2S3 thin films

Journal of Vacuum Science & Technology A

Sanjie Liu

Yangfeng Li

Qing Liu

Jiayou Tao

Xinhe Zheng

2023/9/1

Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process

2023/8/24

In Vacuo XPS Study of Al2O3 ALD Deposition Processes on n-GaN

Sofie Vandenbroucke

Eldad Bahat Treidel

Liad Tadmor

Enrico Brusaterra

Paul Plate

...

2023

Every Step Counts: Importance of Final ALD Sequences for Oxygen Evolution Reaction Catalysts

Electrochemical Society Meeting Abstracts 244

Ruben Blomme

Rahul Ramesh

Lowie Henderick

Christophe Detavernier

Jolien Dendooven

2023/12/22

Metal Nanocatalyst Sintering Interrogated at Complementary Length Scales

Small

Eduardo Solano

Jolien Dendooven

Davy Deduytsche

Nithin Poonkottil

Ji‐Yu Feng

...

2023/2

Atomic layer deposition of yttrium oxide as a protective coating for lithium metal anodes

Dalton Transactions

Bo Zhao

Jin Li

Maxime Guillaume

Véronique Cremers

Lowie Henderick

...

2023

Molecular Layer Deposition of Metal Phosphonates: A New Class of Hybrid Coating Layers

Electrochemical Society Meeting Abstracts 244

Arpan Dhara

Aditya Chalishazar

Jolien Dendooven

Christophe Detavernier

2023/12/22

Depositing ALD-oxides on MLD-metalcones: enhancing initial growth through O 2 plasma densification

Dalton Transactions

Juan Santo Domingo Peñaranda

Matthias M Minjauw

Sofie ST Vandenbroucke

Robin Petit

Jin Li

...

2023

Crystalline Tin Disulfide by Low-Temperature Plasma-Enhanced Atomic Layer Deposition as an Electrode Material for Li-Ion Batteries and CO2 Electroreduction

ACS Applied Energy Materials

Femi Mathew

Järi Van den Hoek

Nithin Poonkottil

Bo Zhao

Geert Rampelberg

...

2023/12/1

Transient APXPS as a tool to characterize the kinetics of alloy restructuring: application to Sn-poor PtSn ALD-derived nanoparticles

Evgeniy Redekop

Jolien Dendooven

Christophe Detavernier

Vladimir Galvita

Hilde Poelman

...

2023

TAP analysis of single and double peak responses during CO oxidation over Pt

Catalysis Today

Juan I Mirena

Denis Constales

Johan Martens

Jolien Dendooven

Gregory S Yablonsky

...

2023/5/1

Atomic Layer Deposition of Lithium Borate and Borophosphate Thin Films for Lithium-Ion Battery Applications

Electrochemical Society Meeting Abstracts 244

Tippi Verhelle

Arpan Dhara

Lowie Henderick

Jolien Dendooven

Christophe Detavernier

2023/12/22

Tin and Indium Sulfide by Plasma-Enhanced Atomic Layer Deposition for CO2 Electroreduction

Femi Mathew

Järi Van Den Hoek

Nithin Poonkottil

Geert Rampelberg

Nick Daems

...

2023

Low Temperature Area Selective Atomic Layer Deposition of Ruthenium Dioxide Thin Films Using Polymers as Inhibition Layers

Advanced Materials Interfaces

Nithin Poonkottil

Hannes Rijckaert

Khannan Rajendran

Robin R Petit

Lisa IDJ Martin

...

2023/3

Ruthenium nanostructures via sequential infiltration synthesis in self-assembled diblock copolymer thin films

Nithin Poonkottil

Eduardo Solano Minuesa

Arbresha Muriqi

Michael Nolan

Christophe Detavernier

...

2022

See List of Professors in Jolien Dendooven University(Universiteit Gent)