Jae W. Lee

Jae W. Lee

Seoul National University

H-index: 27

Asia-South Korea

About Jae W. Lee

Jae W. Lee, With an exceptional h-index of 27 and a recent h-index of 22 (since 2020), a distinguished researcher at Seoul National University, specializes in the field of Computer Architecture, Parallel Programming, Compilers, VLSI Design, Hardware Security.

His recent articles reflect a diverse array of research interests and contributions to the field:

Device for accelerating self-attention operation in neural networks

A Memory-Efficient Edge Inference Accelerator with XOR-based Model Compression

Flowkv: a semantic-aware store for large-scale state management of stream processing engines

WALTZ: Leveraging zone append to tighten the tail latency of LSM tree on ZNS SSD

Hammer refresh row address detector, and semiconductor memory device and memory module including the same

Not all neighbors matter: point distribution-aware pruning for 3D point cloud

Hardware accelerator performing search using inverted index structure and search system including the hardware accelerator

Dram translation layer: Software-transparent dram power savings for disaggregated memory

Jae W. Lee Information

University

Position

Associate Professor of Computer Science and Engineering

Citations(all)

7159

Citations(since 2020)

2526

Cited By

5528

hIndex(all)

27

hIndex(since 2020)

22

i10Index(all)

48

i10Index(since 2020)

35

Email

University Profile Page

Seoul National University

Google Scholar

View Google Scholar Profile

Jae W. Lee Skills & Research Interests

Computer Architecture

Parallel Programming

Compilers

VLSI Design

Hardware Security

Top articles of Jae W. Lee

Title

Journal

Author(s)

Publication Date

Device for accelerating self-attention operation in neural networks

2023/5/25

A Memory-Efficient Edge Inference Accelerator with XOR-based Model Compression

Hyunseung Lee

Jihoon Hong

Soosung Kim

Seung Yul Lee

Jae W Lee

2023/7/9

Flowkv: a semantic-aware store for large-scale state management of stream processing engines

Gyewon Lee

Jaewoo Maeng

Jinsol Park

Jangho Seo

Haeyoon Cho

...

2023/5/8

WALTZ: Leveraging zone append to tighten the tail latency of LSM tree on ZNS SSD

Proceedings of the VLDB Endowment

Jongsung Lee

Donguk Kim

Jae W Lee

2023/7/1

Hammer refresh row address detector, and semiconductor memory device and memory module including the same

2023/1/31

Not all neighbors matter: point distribution-aware pruning for 3D point cloud

Proceedings of the AAAI Conference on Artificial Intelligence

Yejin Lee

Donghyun Lee

JungUk Hong

Jae W Lee

Hongil Yoon

2023/6/26

Hardware accelerator performing search using inverted index structure and search system including the hardware accelerator

2023/1/3

Dram translation layer: Software-transparent dram power savings for disaggregated memory

Wenjing Jin

Wonsuk Jang

Haneul Park

Jongsung Lee

Soosung Kim

...

2023/6/17

A 4-bit 4.5 ns-Latency Pseudo-ReRAM Computing-In-Memory Macro with Self Error-Correcting DTCbased WL drivers and 6-bit CDAC-less Column ADCs having Ultra-narrow Pitch

IEEE Transactions on Circuits and Systems II: Express Briefs

Jongho Kim

Young H Oh

Hyeonsik Kim

Jae W Lee

Jintae Kim

2023/6/14

Liquid: Mix-and-Match Multiple Image Formats to Balance DNN Training Pipeline

Woohyeon Baek

Jonghyun Bae

Donghyun Lee

Hyunwoong Bae

Yeonhong Park

...

2023/8/24

Ulppack: Fast sub-8-bit matrix multiply on commodity simd hardware

Proceedings of Machine Learning and Systems

Jaeyeon Won

Jeyeon Si

Sam Son

Tae Jun Ham

Jae W Lee

2022/4/22

L3: accelerator-friendly lossless image format for high-resolution, high-throughput dnn training

Jonghyun Bae

Woohyeon Baek

Tae Jun Ham

Jae W Lee

2022/10/23

Mithril: Cooperative row hammer protection on commodity dram leveraging managed refresh

Michael Jaemin Kim

Jaehyun Park

Yeonhong Park

Wanju Doh

Namhoon Kim

...

2022/4/2

Architecting a flash-based storage system for low-cost inference of extreme-scale dnns

IEEE Transactions on Computers

Yunho Jin

Shine Kim

Tae Jun Ham

Jae W Lee

2022/9/28

Anna: Specialized architecture for approximate nearest neighbor search

Yejin Lee

Hyunji Choi

Sunhong Min

Hyunseung Lee

Sangwon Beak

...

2022/4/2

A 40nm 5.6TOPS/W 239GOPS/mm2 Self-Attention Processor with Sign Random Projection-based Approximation

Seong Hoon Seo

Soosung Kim

Sung Jun Jung

Sangwoo Kwon

Hyunseung Lee

...

2022/9/19

An energy-efficient dram cache architecture for mobile platforms with pcm-based main memory

ACM Transactions on Embedded Computing Systems (TECS)

Dongsuk Shin

Hakbeom Jang

Kiseok Oh

Jae W Lee

2022/1/17

Method for processing page fault by processor

2022/9/6

Ginex: Ssd-enabled billion-scale graph neural network training on a single machine via provably optimal in-memory caching

arXiv preprint arXiv:2208.09151

Yeonhong Park

Sunhong Min

Jae W Lee

2022/8/19

MaPHeA: A Framework for Lightweight Memory Hierarchy-aware Profile-guided Heap Allocation

ACM Transactions on Embedded Computing Systems

Deok-Jae Oh

Yaebin Moon

Do Kyu Ham

Tae Jun Ham

Yongjun Park

...

2022/12/13

See List of Professors in Jae W. Lee University(Seoul National University)

Co-Authors

H-index: 107
Srinivas Devadas

Srinivas Devadas

Massachusetts Institute of Technology

H-index: 75
Krste Asanovic

Krste Asanovic

University of California, Berkeley

H-index: 46
David I. August

David I. August

Princeton University

H-index: 43
Edward Suh

Edward Suh

Cornell University

H-index: 43
Jung Ho Ahn

Jung Ho Ahn

Seoul National University

H-index: 20
Jinkyu Jeong

Jinkyu Jeong

Sungkyunkwan University

academic-engine