Hideharu Amano

Hideharu Amano

Keio University

H-index: 39

Asia-Japan

About Hideharu Amano

Hideharu Amano, With an exceptional h-index of 39 and a recent h-index of 18 (since 2020), a distinguished researcher at Keio University, specializes in the field of Computer Architecture, Reconfigurable System, Interconnection Network.

His recent articles reflect a diverse array of research interests and contributions to the field:

Expanding Problem-Size Capacity in FPGA-based Amoeba-inspired SAT Solver with External DRAM

An FPGA implementation of Mondrian algorithm

コンピュータはどこから来て, どこへ行くのか [公開]

Power analysis of an optical interconnected FPGA cluster for roadside units

Parallel implementation of CNN on multi-FPGA cluster

Triển khai song song CNN trên Multi-FPGA Cluster

Pelaksanaan Selari CNN pada Kluster Berbilang FPGA

Parallel Implementation of Vision Transformer on a Multi-FPGA Cluster

Hideharu Amano Information

University

Position

___

Citations(all)

7457

Citations(since 2020)

1781

Cited By

7659

hIndex(all)

39

hIndex(since 2020)

18

i10Index(all)

195

i10Index(since 2020)

50

Email

University Profile Page

Keio University

Google Scholar

View Google Scholar Profile

Hideharu Amano Skills & Research Interests

Computer Architecture

Reconfigurable System

Interconnection Network

Top articles of Hideharu Amano

Title

Journal

Author(s)

Publication Date

Expanding Problem-Size Capacity in FPGA-based Amoeba-inspired SAT Solver with External DRAM

IEICE Proceedings Series

Rikuto Yasaka

Kaori Ohkoda

Masashi Aono

Kensuke Iizuka

Hideharu Amano

2024/3/5

An FPGA implementation of Mondrian algorithm

IEICE Proceedings Series

KOJO Ken

Kensuke IIZUKA

Hideharu AMANO

2024/3/5

コンピュータはどこから来て, どこへ行くのか [公開]

Hideharu Amano

2024/1/18

Power analysis of an optical interconnected FPGA cluster for roadside units

Hideharu Amano

Kensuke Iizuka

2024/1/6

Parallel implementation of CNN on multi-FPGA cluster

IEICE TRANSACTIONS on Information and Systems

Yasuyu Fukushima

Kensuke Iizuka

Hideharu Amano

2023/7/1

Triển khai song song CNN trên Multi-FPGA Cluster

IEICE Transactions về thông tin

Yasuyu FUKUSHIMA

Kensuke IIZUKA

Hideharu AMANO

2023/7

Pelaksanaan Selari CNN pada Kluster Berbilang FPGA

IEICE Transactions pada Maklumat

Yasuyu FUKUSHIMA

Kensuke IIZUKA

Hideharu AMANO

2023/7

Parallel Implementation of Vision Transformer on a Multi-FPGA Cluster

Yasuyu Fukushima

Kensuke Iizuka

Hideharu Amano

2023/11/28

Board Allocation Algorithm for the Resource Management System of FiC

Takumi Inage

Kensuke Iizuka

Hideharu Amano

2023/12/18

A Compression Router for Low-Latency Network-on-Chip

IEICE TRANSACTIONS on Information and Systems

Naoya Niwa

Yoshiya Shikama

Hideharu Amano

Michihiro Koibuchi

2023/2/1

Efficient FPGA Implementation of Amoeba-inspired SAT Solver with Feedback and Bounceback Control: Harnessing Variable-Level Parallelism for Large-Scale Problem Solving in Edge …

Hideharu Amano

2023/6/14

マルチ FPGA クラスタ上での CNN の並列実装

IEICE Transactions 情報について

Yasuyu FUKUSHIMA

Kensuke IIZUKA

Hideharu AMANO

2023/7

Implementación Paralela de CNN en Multi-FPGA Cluster

IEICE Transactions en Información

Yasuyu FUKUSHIMA

Kensuke IIZUKA

Hideharu AMANO

2023/7

A Multi-FPGA Implementation of FM-Index Based Genomic Pattern Search

IEICE TRANSACTIONS on Information and Systems

Ullah Imdad

Akram Ben Ahmed

Kazuei Hironaka

Kensuke Iizuka

Hideharu Amano

2023/11/1

Enormous-Scale Quantum State Vector Calculation with FPGA-accelerated SATA storages

Ryohei Niwase

Hikaru Harasawa

Yoshiki Yamaguchi

Kaijie Wei

Hideharu Amano

...

2023/12/12

A variation-aware MTJ store energy estimation model for edge devices with verify-and-retryable nonvolatile flip-flops

IEEE Transactions on Very Large Scale Integration (VLSI) Systems

Aika Kamei

Hideharu Amano

Takuya Kojima

Daiki Yokoyama

Kimiyoshi Usami

...

2023/1/30

Designing low‐diameter interconnection networks with multi‐ported host‐switch graphs

Concurrency and Computation: Practice and Experience

Ryota Yasudo

Koji Nakano

Michihiro Koibuchi

Hiroki Matsutani

Hideharu Amano

2023/5/15

Parallele Implementierung von CNN auf einem Multi-FPGA-Cluster

IEICE Transactions zum Thema Informationen

Yasuyu FUKUSHIMA

Kensuke IIZUKA

Hideharu AMANO

2023/7

Параллельная реализация CNN в кластере с несколькими FPGA

IEICE Transactions по информации

Yasuyu FUKUSHIMA

Kensuke IIZUKA

Hideharu AMANO

2023/7

Optimized Two-Step Store Control for MTJ-Based Nonvolatile Flip-Flops to Minimize Store Energy Under Process and Temperature Variations

IEEE Transactions on Very Large Scale Integration (VLSI) Systems

Kimiyoshi Usami

Daiki Yokoyama

Aika Kamei

Hideharu Amano

Kenta Suzuki

...

2023/10/9

See List of Professors in Hideharu Amano University(Keio University)