Babak Falsafi

Babak Falsafi

École Polytechnique Fédérale de Lausanne

H-index: 67

Europe-Switzerland

About Babak Falsafi

Babak Falsafi, With an exceptional h-index of 67 and a recent h-index of 35 (since 2020), a distinguished researcher at École Polytechnique Fédérale de Lausanne, specializes in the field of Computer Architecture, Datacenter Systems, Post-Moore Servers.

His recent articles reflect a diverse array of research interests and contributions to the field:

What’s Missing in Agile Hardware Design? Verification!

Data transformer apparatus

Imprecise Store Exceptions

SecureCells: A Secure Compartmentalized Architecture

Scale-out systolic arrays

AstriFlash A Flash-Based System for Online Services

Cooperative Concurrency Control for Write-Intensive Key-Value Workloads

Accuracy Boosters: Epoch-Driven Mixed-Mantissa Block Floating-Point for DNN Training

Babak Falsafi Information

University

Position

Professor of Computer Science

Citations(all)

18314

Citations(since 2020)

4247

Cited By

15803

hIndex(all)

67

hIndex(since 2020)

35

i10Index(all)

145

i10Index(since 2020)

84

Email

University Profile Page

École Polytechnique Fédérale de Lausanne

Google Scholar

View Google Scholar Profile

Babak Falsafi Skills & Research Interests

Computer Architecture

Datacenter Systems

Post-Moore Servers

Top articles of Babak Falsafi

Title

Journal

Author(s)

Publication Date

What’s Missing in Agile Hardware Design? Verification!

Journal of Computer Science and Technology

Babak Falsafi

2023

Data transformer apparatus

2023/9/5

Imprecise Store Exceptions

Siddharth Gupta

Yuanlong Li

Qingxuan Kang

Abhishek Bhattacharjee

Babak Falsafi

...

2023/6/17

SecureCells: A Secure Compartmentalized Architecture

Atri Bhattacharyya

Florian Hofhammer

Yuanlong Li

Siddharth Gupta

Andres Sanchez

...

2023/5/21

Scale-out systolic arrays

ACM Transactions on Architecture and Code Optimization

Ahmet Caner Yüzügüler

Canberk Sönmez

Mario Drumond

Yunho Oh

Babak Falsafi

...

2023/3/1

AstriFlash A Flash-Based System for Online Services

Siddharth Gupta

Yunho Oh

Lei Yan

Mark Sutherland

Abhishek Bhattacharjee

...

2023/2/25

Cooperative Concurrency Control for Write-Intensive Key-Value Workloads

Mark Sutherland

Babak Falsafi

Alexandros Daglis

2022/12/19

Accuracy Boosters: Epoch-Driven Mixed-Mantissa Block Floating-Point for DNN Training

arXiv preprint arXiv:2211.10737

Simla Burcu Harma

Ayan Chakraborty

Babak Falsafi

Martin Jaggi

Yunho Oh

2022/11/19

A primer on hardware prefetching

Babak Falsafi

Thomas F Wenisch

2022/6/1

Proceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems

Alfredo Cuzzocrea

James Allan

Norman W Paton

Divesh Srivastava

Rakesh Agrawal

...

2018

Highly concurrent latency-tolerant register files for GPUs

ACM Transactions on Computer Systems (TOCS)

Mohammad Sadrosadati

Amirhossein Mirhosseini

Ali Hajiabadi

Seyed Borna Ehsani

Hajar Falahati

...

2021/1/4

Cerebros: Evading the rpc tax in datacenters

Arash Pourhabibi

Mark Sutherland

Alexandros Daglis

Babak Falsafi

2021/10/18

Approximate Systems (Dagstuhl Seminar 21302)

Eva Darulova

Babak Falsafi

Andreas Gerstlauer

Phillip Stanley-Marbell

2021

Rebooting virtual memory with midgard

Siddharth Gupta

Atri Bhattacharyya

Yunho Oh

Abhishek Bhattacharjee

Babak Falsafi

...

2021/6/14

Post-Moore Datacenter Server Architecture

Multi-Processor System-on-Chip 2: Applications

Babak Falsafi

2021/3/31

Atomic object reads for in-memory rack-scale computing

2021/2/23

Equinox: Training (for Free) on a Custom Inference Accelerator

Proceedings of the 54th International Symposium on Microarchitecture (MICRO'21)

Mario Paulo Drumond Lages De Oliveira

Louis Coulon

Arash Pourhabibi Zarandi

Ahmet Caner Yüzügüler

Babak Falsafi

...

2021/10/18

Exploiting errors for efficiency: A survey from circuits to applications

Phillip Stanley-Marbell

Armin Alaghi

Michael Carbin

Eva Darulova

Lara Dolecek

...

2020/6/12

The NEBULA RPC-optimized architecture

Mark Sutherland

Siddharth Gupta

Babak Falsafi

Virendra Marathe

Dionisios Pnevmatikatos

...

2020/5/30

Efficient nearest-neighbor data sharing in GPUs

ACM Transactions on Architecture and Code Optimization (TACO)

Negin Nematollahi

Mohammad Sadrosadati

Hajar Falahati

Marzieh Barkhordar

Mario Paulo Drumond

...

2020/12/29

See List of Professors in Babak Falsafi University(École Polytechnique Fédérale de Lausanne)

Co-Authors

H-index: 123
Kaushik Roy

Kaushik Roy

Purdue University

H-index: 68
David A. Wood

David A. Wood

University of Wisconsin-Madison

H-index: 63
T. N. Vijaykumar

T. N. Vijaykumar

Purdue University

H-index: 58
Thomas F. Wenisch

Thomas F. Wenisch

University of Michigan-Dearborn

H-index: 46
James C. Hoe

James C. Hoe

Carnegie Mellon University

academic-engine