Akash Kumar

Akash Kumar

Technische Universität Dresden

H-index: 39

Europe-Germany

About Akash Kumar

Akash Kumar, With an exceptional h-index of 39 and a recent h-index of 30 (since 2020), a distinguished researcher at Technische Universität Dresden, specializes in the field of Embedded systems, low-power, reliability, design automation, FPGA.

His recent articles reflect a diverse array of research interests and contributions to the field:

Utilizing Machine Learning Techniques for Worst-Case Execution Time Estimation on GPU Architectures

Temporal Decisions: Leveraging Temporal Correlation for Efficient Decisions in Early Exit Neural Networks

AxOCS: Scaling FPGA-Based Approximate Operators Using Configuration Supersampling

Configurable sparse matrix-matrix multiplication accelerator on FPGA: A systematic design space exploration approach with quantization effects

GREEN: An Approximate SIMD/MIMD CGRA for Energy-Efficient ProcessiNg at the Edge

Introduction to the FPL 2021 Special Section

QuantMAC: Enhancing Hardware Performance in DNNs With Quantize Enabled Multiply-Accumulate Unit

Adapting Neural Networks at Runtime: Current Trends in At-Runtime Optimizations for Deep Learning

Akash Kumar Information

University

Position

Full Professor of Processor Design Computer Science

Citations(all)

5834

Citations(since 2020)

3396

Cited By

3662

hIndex(all)

39

hIndex(since 2020)

30

i10Index(all)

140

i10Index(since 2020)

100

Email

University Profile Page

Technische Universität Dresden

Google Scholar

View Google Scholar Profile

Akash Kumar Skills & Research Interests

Embedded systems

low-power

reliability

design automation

FPGA

Top articles of Akash Kumar

Title

Journal

Author(s)

Publication Date

Utilizing Machine Learning Techniques for Worst-Case Execution Time Estimation on GPU Architectures

IEEE Access

Vikash Kumar

Behnaz Ranjbar

Akash Kumar

2024/3/18

Temporal Decisions: Leveraging Temporal Correlation for Efficient Decisions in Early Exit Neural Networks

arXiv preprint arXiv:2403.07958

Max Sponner

Lorenzo Servadei

Bernd Waschneck

Robert Wille

Akash Kumar

2024/3/12

AxOCS: Scaling FPGA-Based Approximate Operators Using Configuration Supersampling

arXiv preprint arXiv:2309.12830

Siva Satyendra Sahoo

Salim Ullah

Soumyo Bhattacharjee

Akash Kumar

2023/9/22

Configurable sparse matrix-matrix multiplication accelerator on FPGA: A systematic design space exploration approach with quantization effects

Alexandria Engineering Journal

G Noble

S Nalesh

S Kala

Akash Kumar

2024/3/1

GREEN: An Approximate SIMD/MIMD CGRA for Energy-Efficient ProcessiNg at the Edge

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Zahra Ebrahimi

Akash Kumar

2024/4/1

Introduction to the FPL 2021 Special Section

Diana Göhringer

Georgios Keramidas

Akash Kumar

2024/2/12

QuantMAC: Enhancing Hardware Performance in DNNs With Quantize Enabled Multiply-Accumulate Unit

IEEE Access

Neha Ashar

Gopal Raut

Vasundhara Trivedi

Santosh Kumar Vishvakarma

Akash Kumar

2024/3/20

Adapting Neural Networks at Runtime: Current Trends in At-Runtime Optimizations for Deep Learning

Max Sponner

Bernd Waschneck

Akash Kumar

2024

Physical Synthesis Flow and Liberty Generation

Shubham Rai

Akash Kumar

2023/6/20

Der Blocks-Rahmen

Mark Wijtvliet

Henk Corporaal

Akash Kumar

2023/9/10

Schlussfolgerungen und künftige Arbeiten

Mark Wijtvliet

Henk Corporaal

Akash Kumar

2023/9/10

ADAPTIVE: Agent-Based Learning for Bounding Time in Mixed-Criticality Systems

Behnaz Ranjbar

Ali Hosseinghorban

Akash Kumar

2023/7/9

AxOMaP: Designing FPGA-based Approximate Arithmetic Operators using Mathematical Programming

arXiv preprint arXiv:2309.13445

Siva Satyendra Sahoo

Salim Ullah

Akash Kumar

2023/9/23

Parallel FPGA Routers with Lagrange Relaxation

IEEE Access

R. Agrawal

K. Ahuja

D. Maheshwari

M. U. Shaikh

M. Bouaziz

...

2023/10/19

Safety-and Task-Drop-Aware Mixed-Criticality Task Scheduling

Behnaz Ranjbar

Alireza Ejlali

Akash Kumar

2023/7/24

SyFAxO-GeN: Synthesizing FPGA-Based Approximate Operators with Generative Networks

Rohit Ranjan

Salim Ullah

Siva Satyendra Sahoo

Akash Kumar

2023/1/16

Accurate Multipliers

Salim Ullah

Akash Kumar

2023/2/28

Learning-Oriented Reliability Improvement of Computing Systems From Transistor to Application Level

Behnaz Ranjbar

Florian Klemme

Paul R Genssler

Hussam Amrouch

Jinhyo Jung

...

2023/4/17

Reconfigurable FET Approximate Computing-based Accelerator for Deep Learning Applications

Raghul Saravanan

Sathwika Bavikadi

Shubham Rai

Akash Kumar

Sai Manoj Pudukotai Dinakarrao

2023/5/21

Polymorphic Primitives for Hardware Security

Shubham Rai

Akash Kumar

2023/6/20

See List of Professors in Akash Kumar University(Technische Universität Dresden)

Co-Authors

H-index: 89
Thomas Mikolajick

Thomas Mikolajick

Technische Universität Dresden

H-index: 64
Jörg Henkel

Jörg Henkel

Karlsruher Institut für Technologie

H-index: 52
Muhammad Shafique

Muhammad Shafique

New York University

H-index: 51
Wolfgang Lehner

Wolfgang Lehner

Technische Universität Dresden

H-index: 40
Anup Das

Anup Das

Drexel University

H-index: 34
Walter M. Weber

Walter M. Weber

Technische Universität Wien

academic-engine