Mingoo Seok

About Mingoo Seok

Mingoo Seok, With an exceptional h-index of 37 and a recent h-index of 27 (since 2020), a distinguished researcher at Columbia University in the City of New York, specializes in the field of Integrated Circuits, VLSI, Ultra Low Power, Power Management Integrated Circuits, Machine Learning Hardware.

His recent articles reflect a diverse array of research interests and contributions to the field:

INTIACC: A Programmable Floating-Point Accelerator for Partial Differential Equations

3D In-Sensor Computing for Real-Time DVS Data Compression: 65nm Hardware-Algorithm Co-Design

16.6 PACTOR: A Variation-Tolerant Probing-Attack Detector for a 2.5 Gb/s× 4-Channel Chip-to-Chip Interface in 28nm CMOS

iMCU: A 28-nm Digital In-Memory Computing-Based Microcontroller Unit for TinyML

MACC-SRAM: A Multistep Accumulation Capacitor-Coupling In-Memory Computing SRAM Macro for Deep Convolutional Neural Networks

3D-ISC: A 65nm 3D Compatible In-Sensor Computing Accelerator with Reconfigurable Tile Architecture for Real-Time DVS Data Compression

A 44.2-TOPS/W CNN Processor With Variation-Tolerant Analog Datapath and Variation Compensating Circuit

Circuits and methods for in-memory computing

Mingoo Seok Information

University

Position

Electrical Engineering

Citations(all)

5830

Citations(since 2020)

3087

Cited By

3822

hIndex(all)

37

hIndex(since 2020)

27

i10Index(all)

97

i10Index(since 2020)

75

Email

University Profile Page

Google Scholar

Mingoo Seok Skills & Research Interests

Integrated Circuits

VLSI

Ultra Low Power

Power Management Integrated Circuits

Machine Learning Hardware

Top articles of Mingoo Seok

INTIACC: A Programmable Floating-Point Accelerator for Partial Differential Equations

IEEE Journal of Solid-State Circuits

2024/3/26

Mingoo Seok
Mingoo Seok

H-Index: 23

3D In-Sensor Computing for Real-Time DVS Data Compression: 65nm Hardware-Algorithm Co-Design

IEEE Solid-State Circuits Letters

2024/3/8

16.6 PACTOR: A Variation-Tolerant Probing-Attack Detector for a 2.5 Gb/s× 4-Channel Chip-to-Chip Interface in 28nm CMOS

2024/2/18

Mao Li
Mao Li

H-Index: 2

Mingoo Seok
Mingoo Seok

H-Index: 23

iMCU: A 28-nm Digital In-Memory Computing-Based Microcontroller Unit for TinyML

IEEE Journal of Solid-State Circuits

2024/2/14

Mingoo Seok
Mingoo Seok

H-Index: 23

MACC-SRAM: A Multistep Accumulation Capacitor-Coupling In-Memory Computing SRAM Macro for Deep Convolutional Neural Networks

IEEE Journal of Solid-State Circuits

2023/11/20

3D-ISC: A 65nm 3D Compatible In-Sensor Computing Accelerator with Reconfigurable Tile Architecture for Real-Time DVS Data Compression

2023/11/5

A 44.2-TOPS/W CNN Processor With Variation-Tolerant Analog Datapath and Variation Compensating Circuit

IEEE Journal of Solid-State Circuits

2023/10/30

Mingoo Seok
Mingoo Seok

H-Index: 23

Seonghwan Cho
Seonghwan Cho

H-Index: 25

Circuits and methods for in-memory computing

2023/10/10

A Capacitorless External-Clock-Free Fully-Synthesizable Digital LDO with Time-Based Load-State Decision and Asynchronous Recovery

IEEE Transactions on Power Electronics

2023/10/2

DIMCA: An Area-Efficient Digital In-Memory Computing Macro Featuring Approximate Arithmetic Hardware in 28 nm

IEEE Journal of Solid-State Circuits

2023/9/28

Bo Zhang
Bo Zhang

H-Index: 8

Mingoo Seok
Mingoo Seok

H-Index: 23

microASR: 32-μW Real-Time Automatic Speech Recognition Chip featuring a Bio-Inspired Neuron Model and Digital SRAM-based Compute-In-Memory Hardware

2023/9/11

Mingoo Seok
Mingoo Seok

H-Index: 23

D6CIM: 60.4-TOPS/W, 1.46-TOPS/mm2, 1005-Kb/mm2 Digital 6T-SRAM-Based Compute-in-Memory Macro Supporting 1-to-8b Fixed-Point Arithmetic in 28-nm …

2023/9/11

Mingoo Seok
Mingoo Seok

H-Index: 23

93.89% Peak Efficiency 24V-to-1V DC-DC Converter with Fast In-Situ Efficiency Tracking and Power-FET Code Roaming

2023/9/11

Experimentation with Wideband Real-Time Adaptive Full-Duplex Radios

2023/9/10

A Fully-Digital Variation-Tolerant Runtime Detector for PCB-level Probing Attack in a 28-nm CMOS

IEEE Solid-State Circuits Letters

2023/8/30

Mao Li
Mao Li

H-Index: 2

Mingoo Seok
Mingoo Seok

H-Index: 23

Digital in-memory computing macro based on approximate arithmetic hardware

2023/8/24

TICA: Timing Slack Inference and Clock Frequency Adaption Technique for a Deeply Pipelined Near-Threshold-Voltage Bitcoin Mining Core

IEEE Journal of Solid-State Circuits

2023/8/21

Static random-access memory for deep neural networks

2023/8/15

A Metastability Risk Prediction and Mitigation Technique for Clock-Domain Crossing With Single-Stage Synchronizer in Near-Threshold-Voltage Multivoltage/Frequency-Domain …

IEEE Journal of Solid-State Circuits

2023/6/23

iMCU: A 102-μJ, 61-ms Digital In-Memory Computingbased Microcontroller Unit for Edge TinyML

2023/4/23

Mingoo Seok
Mingoo Seok

H-Index: 23

See List of Professors in Mingoo Seok University(Columbia University in the City of New York)

Co-Authors

academic-engine