Muya Chang

Muya Chang

Georgia Institute of Technology

H-index: 11

North America-United States

About Muya Chang

Muya Chang, With an exceptional h-index of 11 and a recent h-index of 11 (since 2020), a distinguished researcher at Georgia Institute of Technology, specializes in the field of VLSI Optimization Algorithms.

His recent articles reflect a diverse array of research interests and contributions to the field:

30.1 A 40nm VLIW Edge Accelerator with 5MB of 0.256 pJ/b RRAM and a Localization Solver for Bristle Robot Surveillance

E-Gaze: Gaze Estimation with Event Camera

A GaN-Based Reconfigurable Series-Parallel Hybrid Converter Supporting 48/24/12V Input and 0.8-1.2 V Output with 83.7/87.8/90.7% Peak Efficiency

A 73.53 TOPS/W 14.74 TOPS heterogeneous RRAM in-memory and SRAM near-memory SoC for hybrid frame and event-based target tracking

A 40nm Compute-in-Memory Macro With RRAM Addressing IR Drop and Off-State Current

A heterogeneous rram in-memory and sram near-memory soc for fused frame and event-based target identification and tracking

Neuromorphic swarm on rram compute-in-memory processor for solving qubo problem

A 2.38 MCells/mm2 9.81 -350 TOPS/W RRAM Compute-in-Memory Macro in 40nm CMOS with Hybrid Offset/IOFF Cancellation and ICELL RBLSL Drop Mitigation

Muya Chang Information

University

Position

___

Citations(all)

396

Citations(since 2020)

396

Cited By

45

hIndex(all)

11

hIndex(since 2020)

11

i10Index(all)

12

i10Index(since 2020)

12

Email

University Profile Page

Google Scholar

Muya Chang Skills & Research Interests

VLSI Optimization Algorithms

Top articles of Muya Chang

30.1 A 40nm VLIW Edge Accelerator with 5MB of 0.256 pJ/b RRAM and a Localization Solver for Bristle Robot Surveillance

2024/2/18

E-Gaze: Gaze Estimation with Event Camera

IEEE Transactions on Pattern Analysis and Machine Intelligence

2024/1/29

Muya Chang
Muya Chang

H-Index: 4

Arijit Raychowdhury
Arijit Raychowdhury

H-Index: 31

A GaN-Based Reconfigurable Series-Parallel Hybrid Converter Supporting 48/24/12V Input and 0.8-1.2 V Output with 83.7/87.8/90.7% Peak Efficiency

2023/3/19

A 73.53 TOPS/W 14.74 TOPS heterogeneous RRAM in-memory and SRAM near-memory SoC for hybrid frame and event-based target tracking

2023/2/19

A 40nm Compute-in-Memory Macro With RRAM Addressing IR Drop and Off-State Current

IEEE Solid-State Circuits Letters

2023/12/1

A heterogeneous rram in-memory and sram near-memory soc for fused frame and event-based target identification and tracking

IEEE Journal of Solid-State Circuits

2023/8/8

Neuromorphic swarm on rram compute-in-memory processor for solving qubo problem

2023/7/9

A 2.38 MCells/mm2 9.81 -350 TOPS/W RRAM Compute-in-Memory Macro in 40nm CMOS with Hybrid Offset/IOFF Cancellation and ICELL RBLSL Drop Mitigation

2023/6/11

Live Demonstration: Hybrid RRAM and SRAM SoC for Fused Frame and Event Target Tracking

2023/5/21

A 65 nm 1.4-6.7 tops/w adaptive-snr sparsity-aware cim core with load balancing support for dl workloads

2023/4/23

Privacy-by-Sensing with Time-domain Differentially-Private Compressed Sensing

2023/4/17

Stochastic Mixed-Signal Circuit Design for In-sensor Privacy

2022/10/30

Experimental Fault Rate Characterization and Protection in Embedded RRAM

2022/4/27

An analog clock-free compute fabric base on continuous-time dynamical system for solving combinatorial optimization problems

2022/4/24

A 65 nm wireless image SoC supporting on-chip DNN optimization and real-time computation-communication trade-off via actor-critical neuro-controller

IEEE Journal of Solid-State Circuits

2022/3/28

A 40nm 64kb 26.56TOPS/W 2.37Mb/mm2RRAM Binary/Compute-in-Memory Macro with 4.23x Improvement in Density and >75% Use of Sensing Dynamic Range

2022/2/20

A 40nm 60.64 TOPS/W ECC-capable compute-in-memory/digital 2.25 MB/768KB RRAM/SRAM system with embedded cortex M3 microprocessor for edge recommendation systems

2022/2/20

A 40-nm 118.44-TOPS/W voltage-sensing compute-in-memory RRAM macro with write verification and multi-bit encoding

IEEE Journal of Solid-State Circuits

2022/1/21

A 40-nm, 64-Kb, 56.67 TOPS/W voltage-sensing computing-in-memory/digital RRAM macro supporting iterative write with verification and online read-disturb detection

IEEE Journal of Solid-State Circuits

2021/8/9

A 40nm 100Kb 118.44 TOPS/W Ternary-weight Computein-Memory RRAM Macro with Voltage-sensing Read and Write Verification for reliable multi-bit RRAM operation

2021/4/25

See List of Professors in Muya Chang University(Georgia Institute of Technology)

Co-Authors

academic-engine