Meng-Fan Chang

About Meng-Fan Chang

Meng-Fan Chang, With an exceptional h-index of 61 and a recent h-index of 46 (since 2020), a distinguished researcher at National Tsing Hua University, specializes in the field of Memory Circuit Designs, Computing-in-Memory, AI Chips, Memristor-Based Neuromorphic Circuits, Circuit Designs for Nano-Devices.

His recent articles reflect a diverse array of research interests and contributions to the field:

Sense amplifier circuit and method

Memory device and method

Computation method and computation apparatus with input swapping

Memory device with SRAM cells assisted by non-volatile memory cells and operation method thereof

Memory test circuit, memory chip, and testing method of memory chip

Hardware implementation of memristor-based artificial neural networks

Memory devices, circuits and methods of adjusting a sensing current for the memory device

30.1 A 40nm VLIW Edge Accelerator with 5MB of 0.256 pJ/b RRAM and a Localization Solver for Bristle Robot Surveillance

Meng-Fan Chang Information

University

Position

Taiwan

Citations(all)

12618

Citations(since 2020)

9428

Cited By

6775

hIndex(all)

61

hIndex(since 2020)

46

i10Index(all)

222

i10Index(since 2020)

173

Email

University Profile Page

Google Scholar

Meng-Fan Chang Skills & Research Interests

Memory Circuit Designs

Computing-in-Memory

AI Chips

Memristor-Based Neuromorphic Circuits

Circuit Designs for Nano-Devices

Top articles of Meng-Fan Chang

Sense amplifier circuit and method

2024/3/26

Memory device and method

2024/3/26

Computation method and computation apparatus with input swapping

2024/3/14

Memory device with SRAM cells assisted by non-volatile memory cells and operation method thereof

2024/3/12

Memory test circuit, memory chip, and testing method of memory chip

2024/3/7

Memory devices, circuits and methods of adjusting a sensing current for the memory device

2024/2/27

30.1 A 40nm VLIW Edge Accelerator with 5MB of 0.256 pJ/b RRAM and a Localization Solver for Bristle Robot Surveillance

2024/2/18

34.8 A 22nm 16Mb Floating-Point ReRAM Compute-in-Memory Macro with 31.2 TFLOPS/W for AI Edge Devices

2024/2/18

34.2 A 16nm 96Kb Integer/Floating-Point Dual-Mode-Gain-Cell-Computing-in-Memory Macro Achieving 73.3-163.3 TOPS/W and 33.2-91.2 TFLOPS/W for AI-Edge Devices

2024/2/18

Method for controlling sense amplifier and control device using the same

2024/2/15

Memory array, memory structure and operation method of memory array

2024/2/13

SUN: Dynamic Hybrid-Precision SRAM-Based CIM Accelerator With High Macro Utilization Using Structured Pruning Mixed-Precision Networks

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

2024/1/25

Memory array structure

2024/1/18

Memristor-based hardware accelerators for artificial intelligence

2024/4/23

Yi Huang
Yi Huang

H-Index: 8

Meng-Fan Chang
Meng-Fan Chang

H-Index: 35

Memory unit with time domain edge delay accumulation for computing-in-memory applications and computing method thereof

2024/4/23

Fusion of memristor and digital compute-in-memory processing for energy-efficient edge computing

Science

2024/4/19

EMBER: Efficient Multiple-Bits-Per-Cell Embedded RRAM Macro for High-Density Digital Storage

IEEE Journal of Solid-State Circuits

2024/4/17

A 73.53 TOPS/W 14.74 TOPS heterogeneous RRAM in-memory and SRAM near-memory SoC for hybrid frame and event-based target tracking

2023/2/19

A Nonvolatile AI-Edge Processor With SLC–MLC Hybrid ReRAM Compute-in-Memory Macro Using Current–Voltage-Hybrid Readout Scheme

IEEE Journal of Solid-State Circuits

2023/10/2

See List of Professors in Meng-Fan Chang University(National Tsing Hua University)

Co-Authors

academic-engine