Jörg Henkel

About Jörg Henkel

Jörg Henkel, With an exceptional h-index of 64 and a recent h-index of 37 (since 2020), a distinguished researcher at Karlsruher Institut für Technologie, specializes in the field of Embedded Systems, Systems-on-Chip, Dependable Systems, Low Power Design, Thermal Design.

His recent articles reflect a diverse array of research interests and contributions to the field:

LightFAt: Mitigating Control-flow Explosion via Lightweight PMU-based Control-flow Attestation

Covert-Hammer: Coordinating Power-Hammering on Multi-tenant FPGAs via Covert Channels

MaliGNNoma: GNN-Based Malicious Circuit Classifier for Secure Cloud FPGAs

Energy-Aware Heterogeneous Federated Learning via Approximate Systolic DNN Accelerators

A Comprehensive Survey of Convolutions in Deep Learning: Applications, Challenges, and Future Trends

Aggregating Capacity in FL through Successive Layer Training for Computationally-Constrained Devices

TransAxx: Efficient Transformers with Approximate Computing

Hardware–Software Co-design for Ultra-Resource-Constrained Embedded Machine Learning Inference: A Printed Electronics Use Case

Jörg Henkel Information

University

Position

Professor of Computer Science

Citations(all)

19168

Citations(since 2020)

7272

Cited By

14777

hIndex(all)

64

hIndex(since 2020)

37

i10Index(all)

378

i10Index(since 2020)

196

Email

University Profile Page

Google Scholar

Jörg Henkel Skills & Research Interests

Embedded Systems

Systems-on-Chip

Dependable Systems

Low Power Design

Thermal Design

Top articles of Jörg Henkel

LightFAt: Mitigating Control-flow Explosion via Lightweight PMU-based Control-flow Attestation

arXiv preprint arXiv:2404.02608

2024/4/3

Covert-Hammer: Coordinating Power-Hammering on Multi-tenant FPGAs via Covert Channels

2024/4/1

Lars Bauer
Lars Bauer

H-Index: 19

Jörg Henkel
Jörg Henkel

H-Index: 39

MaliGNNoma: GNN-Based Malicious Circuit Classifier for Secure Cloud FPGAs

arXiv preprint arXiv:2403.01860

2024/3/4

Energy-Aware Heterogeneous Federated Learning via Approximate Systolic DNN Accelerators

arXiv preprint arXiv:2402.18569

2024/2/28

Kostas Siozios
Kostas Siozios

H-Index: 11

Jörg Henkel
Jörg Henkel

H-Index: 39

A Comprehensive Survey of Convolutions in Deep Learning: Applications, Challenges, and Future Trends

2024/2/23

Aggregating Capacity in FL through Successive Layer Training for Computationally-Constrained Devices

Advances in Neural Information Processing Systems

2024/2/13

Jörg Henkel
Jörg Henkel

H-Index: 39

TransAxx: Efficient Transformers with Approximate Computing

arXiv preprint arXiv:2402.07545

2024/2/12

Hardware–Software Co-design for Ultra-Resource-Constrained Embedded Machine Learning Inference: A Printed Electronics Use Case

2023/10/10

Georgios Zervakis
Georgios Zervakis

H-Index: 9

Jörg Henkel
Jörg Henkel

H-Index: 39

Swift-CNN: Leveraging PCM Memory’s Fast Write Mode to Accelerate CNNs

IEEE Embedded Systems Letters

2023/9/25

Effects of Runtime Reconfiguration on PUFs Implemented as FPGA-Based Accelerators

IEEE Embedded Systems Letters

2023/9/25

Lars Bauer
Lars Bauer

H-Index: 19

Jörg Henkel
Jörg Henkel

H-Index: 39

Differentiable Slimming for Memory-Efficient Transformers

IEEE Embedded Systems Letters

2023/9/25

Special Session-Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications

2023/9/17

ANV-PUF: Machine-Learning-Resilient NVM-Based Arbiter PUF

ACM Transactions on Embedded Computing Systems

2023/9/9

Lars Bauer
Lars Bauer

H-Index: 19

Jörg Henkel
Jörg Henkel

H-Index: 39

Federated learning for computationally constrained heterogeneous devices: A survey

2023/7/17

Martin Rapp
Martin Rapp

H-Index: 5

Jörg Henkel
Jörg Henkel

H-Index: 39

Machine Learning-based Thermally-Safe Cache Contention Mitigation in Clustered Manycores

2023/7/9

Smart Detection of Obfuscated Thermal Covert Channel Attacks in Many-core Processors

2023/7/9

Late Breaking Results: Configurable Ring Oscillators as a Side-Channel Countermeasure

2023/7/9

Lars Bauer
Lars Bauer

H-Index: 19

Jörg Henkel
Jörg Henkel

H-Index: 39

ATLAS: Aging-aware task replication for multicore safety-critical systems

2023/5/9

The First Concept and Real-world Deployment of a GPU-based Thermal Covert Channel: Attack and Countermeasures

2023/4/17

Hardware-aware automated neural minimization for printed multilayer perceptrons

2023/4/17

See List of Professors in Jörg Henkel University(Karlsruher Institut für Technologie)